Я хочу подключить переменную модуля к порту в виртуальном интерфейсе с помощью оператора assign.
Я создал один интерфейс и установил его как виртуальный в config_db в моем верхнем модуле. Я получил виртуальный интерфейс через config_db в другом модуле и пытаюсь подключить порт в другом модуле к порту в виртуальном интерфейсе
//Below is the sample code
interface intf(); //Interface
int values;
endinterface
module another_module(); //Another module
virtual intf u_intf;
int val;
assign val = u_intf.values; //I am getting ERROR here
initial begin
uvm_config_db#(virtual intf)::get(null,"","assoc_array",u_intf);
end
endmodule
module tb(); // Top TB
intf u_intf();
another_module u_another_module();
initial begin
uvm_config_db#(virtual intf)::set(uvm_root::get(),"","assoc_array",u_intf);
end
endmodule