Я новичок в VHDL.Я написал код о 8-битном сумматоре.Когда я начинаю компиляцию, в ней есть одна ошибка, которую я не знаю, как ее решить.У меня могут быть другие ошибки в моем коде, которые я не могу заметить.Вот мой код:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity Adder_plus is
generic (size: integer :=8);
port( x,y: in std_logic_vector(size-1 downto 0);
sum: out std_logic_vector(size-1 downto 0);
c_out: out std_logic );
end Adder_plus;
architecture RTL of Adder_plus is
signal s: std_logic_vector(size downto 0);
begin
s <= std_logic_vector("0" & unsigned(x) + "0" & unsigned(y)); --I wrote it this way because it does not recognise "+"
sum <= s(size-1 downto 0);
c_out <= s(size);
end RTL;
Вот ошибка, которая появляется:
Error (10344): VHDL expression error at Adder_plus.vhd(16): expression has 17 elements, but must have 9 elements