VHDL: преобразовать переменные "real" и "time" в строки для отображения на консоли - PullRequest
0 голосов
/ 14 мая 2019

Допустим, у меня есть некоторые vhdl-константы, которые имеют тип "real" и тип "time", как я могу преобразовать их в строку и отобразить их с помощью функции "report"?


library ieee;
use ieee.std_logic_1164.all;

entity mytop is
end entity;

architecture rtl of mytop is
    constant pi : real := 3.141;
    constant pi_time : time := pi * 1 ns;
begin

    process
    begin
        report "this is a real variable: " & pi; 
        report "this is a time variable: " & pi_time; 
    end process;

end architecture;

Результат:

ОШИБКА ... pi и pi_time не являются функциями ...

1 Ответ

2 голосов
/ 14 мая 2019

Это просто, атрибут изображения типа - это функция, которая преобразует этот тип в строковое представление:

report real'image(pi);
report time'image(pi_time);
...