Если я показываю эту шину в verilog, все ее красные крестики:
// VERILOG: unassigned signal sigx
reg [15:0] sigx; //displays as RED in gtkwaves
Если я покажу этот автобус в VHDL, то все зеленые, что очень легко пропустить:
-- unassigned signal sigu
signal sigu : std_logic_vector(15 downto 0); --displays as GREEN?! in gtkwaves
Как правильно настроить gtkwaves для VHDL таким образом, чтобы он работал так же, как и verilog, отображая неизвестные значения красным цветом вместо зеленого? а именно, чтобы отобразить схему раскраски 'x' в 'u' в файле vcd.
(как ни странно, gtkwave отображает один бит, который не назначен красным цветом ... просто когда вы делаете из них автобус, они отображаются зеленым цветом в vhdl ...)