Я получаю извне std_logic_vector
с двоичным значением, то есть представляет бит, который должен быть установлен в одно, а другие в 0. Как я понимаю, это декодер, но решение этой проблемы с помощью выражения «когда» будет приниматьмного строк кода, плюс он не подлежит перенастройке.
Пример:
signal number : std_logic_vector(7 downto 0);
signal output : std_logic_vector(255 downto 0);
output <= output(to_integer(unsigned(number))) and (others=>'0');