Вопросы с тегом myhdl - PullRequest

Вопросы с тегом myhdl

0 голосов
0 ответов
0 голосов
0 ответов

У меня уже был pip install myhdl в приглашении anaconda, и это успешно. Но когда я импортирую myhdl...

Rhapsody / 30 апреля 2019
0 голосов
0 ответов

в verilog переменная пофиг выглядит как reg x=1'bx; Есть ли способ создать пофиг в MyHDL?Тип...

philn / 26 февраля 2019
0 голосов
0 ответов

Существует ли способ непосредственного создания моделирования без использования функции-обертки,...

philn / 21 февраля 2019
0 голосов
1 ответ

Как написать код myhdl для реализации Unary XOR в verilog reg [63:0] large_bus; wire xor_value;...

Abhisheietk / 15 декабря 2018
0 голосов
1 ответ

Я пытаюсь выучить MyHDL, и для этого я пытался создать очень простой искусственный нейрон, который...

Mira / 30 ноября 2018
0 голосов
2 ответов

Я новичок в myhdl. Я пытаюсь перевести следующий код Verilog в MyHDL: module ModuleA(data_in,...

killruana / 06 ноября 2018
11 голосов
1 ответ

Ниже приведен фрагмент кода Python с использованием ast и symtable пакеты. Я пытаюсь разобрать код...

Christopher Felton / 24 февраля 2012
6 голосов
3 ответов

В настоящее время я изучаю MyHDL для моего летнего проекта. У меня есть проблема с пониманием...

Adwaitvedant / 18 мая 2011
Для получения более полной информации посмотрите в списке вопросов или в популярных тегах.
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...