Можно ли использовать nettype для определения структуры в System Verilog? - PullRequest
0 голосов
/ 30 апреля 2018

Может ли nettype использоваться для определения структуры в языке SystemVerilog?

У меня не получается определить, может кто-нибудь сказать мне?

1 Ответ

0 голосов
/ 30 апреля 2018

A nettype нельзя использовать в качестве члена struct. Только другие типы данных могут использоваться в качестве членов структуры. nettype - это тип сигнала, который может иметь тип данных, включая struct, но сам nettype не является типом данных.

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...