library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
port (
clk, rst : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0)
);
signal div : std_logic_vector(7 downto 0);
Как я могу решить следующую ошибку в этой строке:
div <= data_in / "00001011";
ошибка: невозможно определить определение оператора "" / "" - найдено 0
возможные определения