невозможно определить определение оператора "" / "" - найдено 0 возможных определений - PullRequest
0 голосов
/ 29 июня 2018
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;


port (
  clk, rst : in std_logic;
  data_in : in  std_logic_vector(7 downto 0);
  data_out : out std_logic_vector(7 downto 0)
 );

signal div : std_logic_vector(7 downto 0);

Как я могу решить следующую ошибку в этой строке:

div <= data_in / "00001011";

ошибка: невозможно определить определение оператора "" / "" - найдено 0 возможные определения

1 Ответ

0 голосов
/ 20 июля 2018

Если вы действительно хотите сделать это разделение (оно ресурсоемкое), используйте:

div <= std_logic_vector(unsigned(data_in)/unsigned'("00001011"));

VHDL строго типизирован, и поэтому вы должны явно конвертировать между единицами. Некоторые строки могут оказаться довольно длинными!

Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...