Перепишите его, чтобы сохранить информацию о месте в последовательности в виде информации о состоянии, например:
process (rst, clk) is
begin
if rst = '1' then
State <= 0;
Xin <= to_signed(1030,5);
elsif rising_edge(clk) then
case State is
when 0 => Xin <= to_signed(1030,5);
when 1 => Xin <= to_signed(1050,5);
when 2 => Xin <= to_signed(1040,5);
when 3 => Xin <= to_signed(1080,5);
when 4 => Xin <= to_signed(1100,5);
when others => Xin <= to_signed(0,5);
end case;
State <= State + 1;
end if;
end process;
Сброс в rst
может, таким образом, вступить в силу немедленно и повториться.
Могут потребоваться незначительные обновления вышеперечисленного в зависимости от того, какое время вы хотите, но код в соответствии с этим должен сделать эту работу.
Btw. to_signed
преобразование значения 1030 в 5-битное Xin
выглядит странным, поскольку для значения 1030 требуется не менее 12 бит для подписанного представления.