Способ прохождения через элементы структуры systemverilog - PullRequest
0 голосов
/ 18 мая 2018

У меня есть структура x, определенная ниже

typedef struct packed {
  int a;
  int b;
} x_s;

Число членов внутри структуры не является детерминированным.Я хочу распечатать каждое значение элемента структуры отдельно.Есть ли способ перебрать элементы структуры?

Заранее спасибо.

1 Ответ

0 голосов
/ 18 мая 2018

Можно попробовать

$display("x: %p",x); 

%p для назначения p attern.и отображает

 x:'{a:0, b:0}

Если вы хотите что-то более сложное, нет способа перебрать struct членов из самого SystemVerilog.Существует API на основе C (VPI) для получения доступа к этой информации, но для этого нужно быть серьезным разработчиком.

...