Я пытаюсь реализовать uvm_phases.tar код в irun
У меня есть код где http://www.testbench.in/UT_02_UVM_TESTBENCH.html
в "ius".
И я использовал Makefile как показано ниже
ius: ${FILES} ${IFILES}
@if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
echo "*******************************************************";\
echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
echo "*******************************************************";\
fi
irun ${UVM_FLAGS} +incdir+. ${FILES} +UVM_TESTNAME=test1 -access +rwc -input ex.tcl
А также ex.tcl
database -open waves -shm
run
probe -create -database top -all -depth all
#uvm_phase -stop_at -end connect
run
exit
Но у меня появляется следующее сообщение об ошибке, когда я запускаю'make ius'
"UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using"
Вот и все сообщение.
UVM_FATAL @ 0: reporter [NOCOMP] No components instantiated. You must either instantiate at least one component before calling run_test or use run_test to do so. To run a test using run_test, use +UVM_TESTNAME or supply the test name in the argument to run_test(). Exiting simulation.
--- UVM Report catcher Summary ---
Number of demoted UVM_FATAL reports : 0
Number of demoted UVM_ERROR reports : 0
Number of demoted UVM_WARNING reports: 0
Number of caught UVM_FATAL reports : 0
Number of caught UVM_ERROR reports : 0
Number of caught UVM_WARNING reports : 0
--- UVM Report Summary ---
** Report counts by severity
UVM_INFO : 0
UVM_WARNING : 0
UVM_ERROR : 0
UVM_FATAL : 1
** Report counts by id
[NOCOMP] 1
Simulation complete via $finish(1) at time 0 FS + 0
/N/x1999/work/uvm-1.1d/src/base/uvm_report_object.svh:292 $finish;
ncsim> probe -create -database top -all -depth all
ncsim: *E,DBNFND: top does not match any database name.
ncsim> #uvm_phase -stop_at -end connect
ncsim> run
ncsim: *E,RNFNSH: Cannot continue simulation due to a previous $finish.
ncsim> exit
make: *** [ius] Error 1
Я думаю о "+ UVM_TESTNAME = test1", но я не вижу проблем.
Будетпожалуйста, помогите мне, что я должен сделать, чтобы решить эту проблему?Я дважды проверил класс 'test1'.