Vivado не отвечает, пока "Начинаем статическую разработку" - PullRequest
0 голосов
/ 13 октября 2018

Я создаю простой дизайн VHDL для Xilinx FPGA.Я пытаюсь создать тестовый стенд для него.Когда я пытаюсь запустить симуляцию, Vivado IDE не может запустить его: последнее сообщение в журнал Starting static elaboration, и оно все больше и больше поглощает память моего ПК.После некоторых экспериментов я обнаружил, что вивадо ломается, когда я создаю изображение в градациях серого в цикле:

    for i in 0 to c_size_y * c_size_x - 1 loop
        v_data(i) := conv_std_logic_vector(i, c_pix_width);
    end loop;

, где

constant c_size_x : integer := 2448;
constant c_size_y : integer := 2048;
constant c_pix_width : integer := 12;
subtype t_pix is std_logic_vector(c_pix_width - 1 downto 0);
type t_pix_array is array(natural range <>) of t_pix;
variable v_data : t_pix_array(c_size_x * c_size_y - 1 downto 0);

У меня нет ошибок в журнале.Только подождите, пока закончится статическая проработка.Что это значит?Какими способами я должен генерировать постоянное изображение?

...