Если test
расширенный от base_test
имеет configure_phase
, ему нужно позвонить super.configure_phase(phase);
Вам действительно нужно показать mcve , как это ниже, который работает для меня.
import uvm_pkg::*;
`include "uvm_macros.svh"
class base_test extends uvm_test;
function new(string name="",uvm_component parent);
super.new(name,parent);
endfunction
`uvm_component_utils(base_test)
task configure_phase(uvm_phase phase);
super.configure_phase(phase);
`uvm_info(get_name(), "Entered configure_phase", UVM_LOW)
endtask
endclass
class actual_test extends base_test;
function new(string name="",uvm_component parent);
super.new(name,parent);
endfunction
`uvm_component_utils(actual_test)
endclass
module top;
initial run_test("actual_test");
endmodule
Кстати, вы не должны поднимать и опускать возражения без некоторой задержки между ними или не делать это вообще.