Я только начал использовать cocotb, и у меня возникла проблема с небольшим фрагментом кода, который должен использовать сопрограмму.
import cocotb
from cocotb.triggers import Timer
@cocotb.coroutine
def test(dut):
dut.a <= 1
dut.b <= 2
cocotb.log.info('test')
yield Timer(1, unit='ns')
@cocotb.test()
def add_corner(dut):
dut.uop <= 0b0
dut.src <= 0b01
test(dut)
yield Timer(1, units='ns')
dut._log.info('done')
Симуляция создана и выполняется, но сопрограмма никогда не вызывается. Ни сообщение журнала, ни назначения не выполняются.
Я использую python 3.8, и я протестировал несколько примеров, содержащихся в репо. Тест axi_slave работает нормально, поэтому я предполагаю, что мои настройки работают.
У кого-нибудь есть догадки о том, что может вызвать проблему?