VHDL, как перебрать все элементы в записи - PullRequest
0 голосов
/ 18 июня 2020

Есть ли способ перебора всех элементов для типа записи? для простоты предположим, что все типы элементов в записи - std_logi c.

например:

type bar is record 
   bar1 : std_logic;
   bar2 : std_logic;
   bar3 : std_logic;
end record;

Как мне (возможно ли) получить доступ к элементу любого произвольного типа записи?

(Я понял, что с новым VHDL у нас будет самоанализ)

С VHDL2008 есть ли способ использовать какой-либо атрибут для доступа к элементу и предполагая, что мы заранее знаем тип элемента, тогда как мы можем перебирать эту запись?

1 Ответ

2 голосов
/ 18 июня 2020

Вы не можете перебирать запись (с любой текущей или будущей версиями). В этом мало смысла, поскольку вы не можете выполнять другое действие в зависимости от типа. Итерация может выполняться только по массиву.

...