Я пытаюсь реализовать FIFO, используя SV с динамическими c массивами и очередями. Однако я не могу просмотреть форму волны массива / очередей Dynami c в программе просмотра волн. Кто-нибудь знает, как просмотреть форму волны массивов Dynami c или это невозможно?
Результат в EDA Playground:
Сообщение об ошибке: [2020-05-28 01: 53:22 EDT] iverilog '-Wall' '-g2012' design.sv testbench.sv && unbuffer vvp a.out ivl: eval_object. c: 65: eval_darray_new: утверждение «0» не выполнено. Прервано (ядро выгружено) Ожидаемый код выхода: 0, получено: 1
В SNPS VCS:
не удалось добавить определенные сигналы в форму волны, потому что они не могут быть найдены в FSDB .
module dynamic_array_data();
reg [7:0] mem [];
initial begin
$dumpfile("dump.vcd");
$dumpvars;
#10000 $finish;
end
initial begin
mem = new[4];
for (int i = 0; i < 4; i ++) begin
mem[i] = i;
end
end
endmodule