Почему я получаю «пустые результаты», когда создаю слабую гистограмму для своего тестового проекта? Как я могу это исправить? - PullRequest
0 голосов
/ 14 апреля 2019

В настоящее время я работаю в vivado 2018.3.1, и мне нужно сделать статический анализ времени для проекта. Чтобы сохранить мой прогресс, я создал базовый Test_project для экспериментов. Я начинающий, когда дело доходит до дизайна оборудования. Может кто-нибудь сказать мне, что я должен изменить в Test_project, чтобы я мог получить результаты?

Мой проект написан на VHDL. Это код test_project:

entity test_design is
  Port (
  int0 : in std_logic_vector (3 downto 0);
  int1 : in std_logic_vector (3 downto 0);
  max  : out std_logic_vector(3 downto 0)
   );
end test_design;

architecture Behavioral of test_design is

begin


max <= int0 when int0 >= int1 else
       int1;

end Behavioral;

Когда я пытаюсь создать слабую гистограмму («Отчет»> «Сроки»> «Создать слабую гистограмму»), появляется окно «Результаты слабой гистограммы пусты».

1 Ответ

0 голосов
/ 14 апреля 2019

Время требует контрольных точек, начальных и конечных точек.В дизайне, который будет в основном регистрировать выходы для регистрации входов, где оба работают по фронту синхронизации.(Это не обязательно должны быть одинаковые часы).

Другие временные параметры могут быть входным и выходным портом в направлении внутренней логики.Но поскольку инструмент не имеет представления о том, что находится «за пределами» чипа, он по умолчанию не генерирует синхронизацию для портов ввода / вывода.Вы должны указать внешние временные ограничения, чтобы получить их.

В вашем дизайне нет часов, и я предполагаю, что вы не добавили никаких ограничений ввода / вывода, что означает, что инструмент не может выполнять свою работу.

...