Вопросы с тегом FPGA - PullRequest

Вопросы с тегом FPGA

1 голос
2 ответов

Внедрение 8-битного ALU в VHDL только с беззнаковыми числами.Когда результатом суммы является (1)...

Andr / 06 марта 2012
3 голосов
3 ответов

У меня есть проект, чтобы сделать. Что требует, чтобы я использовал FPGA. Тема в том, что мне нужно...

Jasim Khan Afridi / 05 марта 2012
2 голосов
3 ответов

Может кто-нибудь сказать мне, как мне настроить Xilinx ISE для получения максимальной скорости...

xx77aBs / 25 февраля 2012
6 голосов
2 ответов

Код, вызывающий проблемы, выглядит как обычная операция xnor, как показано ниже: S(1) <= L(16)...

Patrick / 14 февраля 2012
1 голос
3 ответов

Мне было интересно, можно ли сгенерировать код VHDL из схемы в xilinx. Я знаю, что обратное...

BugShotGG / 23 января 2012
2 голосов
4 ответов

Я новичок в FPGA и HDL, но я пытаюсь узнать и не могу понять это.Как я могу рассчитать или оценить...

Frank Dejay / 16 января 2012
2 голосов
3 ответов

Я относительно новичок в сценарии FPGA и хотел получить опыт работы с ними и VHDL.Я не совсем...

L7ColWinters / 29 декабря 2011
0 голосов
2 ответов

Я новичок в ПЛИС, и мне было интересно, есть ли какой-нибудь источник доступных проектов для...

Kiarash / 28 декабря 2011
0 голосов
2 ответов

У меня есть макросы xilinx для uart (.edn файлы), и я не знаю, как использовать в activehdl когда я...

Black Hawk / 27 декабря 2011
9 голосов
3 ответов

Я обычно использую F # для написания численных алгоритмов.Конструкции функционального...

pad / 06 декабря 2011
0 голосов
1 ответ

Как мне смоделировать этот vhdl-код на ISim 12.3? Я знаю, что это работает, потому что я загрузил в...

BRabbit27 / 05 декабря 2011
3 голосов
3 ответов

У меня есть плата Xilinx Virtex-II Pro FPGA, подключенная через RS232 к iRobot Create. iRobot...

ZacAttack / 03 декабря 2011
0 голосов
1 ответ

У меня есть приложение, которое создает экземпляр микропроцессора, который мы разрабатываем на...

BRabbit27 / 03 декабря 2011
2 голосов
3 ответов

Я работаю в Xilinx Platform Studio, и я хочу, чтобы модуль VHDL вывел некоторые значения, а затем я...

ZacAttack / 19 ноября 2011
0 голосов
1 ответ

Привет, ребята. У меня есть следующий VHDL, который не выполняет то, что предполагается в...

user968102 / 03 ноября 2011
4 голосов
3 ответов

Я пытаюсь создать счетчик в verilog, который подсчитывает, сколько тактов было, и после десяти...

user1018684 / 28 октября 2011
1 голос
2 ответов

Так что я, возможно, сделал что-то ОЧЕНЬ глупое Я согласился на проект, в котором Roomba...

ZacAttack / 27 октября 2011
1 голос
2 ответов

Я хотел бы получить плату для разработки ПЛИС с целью эмуляции привода CD-ROM.Мои вопросы: Есть ли...

user1010005 / 24 октября 2011
3 голосов
2 ответов

У меня сложная вычислительная задача, которую я использовал для реализации CUDA, и теперь я хочу...

Kiarash / 22 октября 2011
3 голосов
2 ответов

Я реализовал 16-битный ALU и файл регистра в VHDL, используя Xilinx ISE.Меня спросили, сколько...

ZacAttack / 10 октября 2011
0 голосов
1 ответ

Я использую плату Nexys2-1200 (со spartan3). Она поставляется с предварительно загруженной...

JCLL / 27 сентября 2011
16 голосов
7 ответов

Я очень взволнован тем, что моя программа работает на маленьком семисегментном дисплее, но когда я...

Willem Ellis / 18 сентября 2011
1 голос
2 ответов

Я пытаюсь вставить 2-битный 2-в-1 мультиплексор в одну LUT.Кажется, что LUT5_D имеет 5 входов и 2...

drdot / 13 сентября 2011
2 голосов
1 ответ

У нас разрабатывается аппаратное обеспечение, которое принимает ввод с сенсорного экрана и передает...

Roger / 09 сентября 2011
1 голос
1 ответ

Я работаю над WLAN Receiver и использую USRP2 для получения сигнала.Я хотел бы знать, какой должна...

Kiran / 04 сентября 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...