Вопросы с тегом FPGA - PullRequest

Вопросы с тегом FPGA

1 голос
1 ответ

Я добавил некоторые функции в код FPGA (Verilog) в USRP2.Я хотел бы отладить код.Подскажите,...

Kiran / 01 сентября 2011
1 голос
1 ответ

Как перезагрузить ПК (материнскую плату) из FPGA на плате PCI (без манипуляций с BIOS) Subj.

fpgaiua / 27 августа 2011
0 голосов
2 ответов

Я работаю на платформе Xilinx Spartan 3E, используя эту плату разработки: http://www.xilinx

Neel Mehta / 21 августа 2011
0 голосов
2 ответов

Я пытался найти абсолютное значение целого числа, которое назначено ядру Verilog с помощью Xilinx...

aibk01 / 11 августа 2011
11 голосов
10 ответов

Я бы хотел приблизить функцию e x . Можно ли сделать это, используя подход с несколькими типами...

trican / 08 августа 2011
0 голосов
1 ответ

Есть ли TFTP-сервер для Altium Nanoboard NB3000, использующий процессор TSK3000A. Пример из Altium...

Mullaly / 20 июля 2011
6 голосов
1 ответ

Используя руководство по космическому оружию 13 http://www.cosmiac.org/tutorial_13.html и ISE 10.1,...

Joe / 13 июля 2011
0 голосов
1 ответ

Я использую USRP2 с дочерней платой RFX2400. Я планирую использовать USRP2 для моего проекта. Я...

Kiran / 08 июля 2011
1 голос
1 ответ

Я только что создал собственный IP-адрес в Xilinx, он сгенерировал файл user_logic, который мне...

aibk01 / 07 июля 2011
0 голосов
1 ответ

Я пытался создать список соединений из простой модели в Simulink. Я могу запустить симуляцию...

Kiran / 30 июня 2011
4 голосов
1 ответ

Можно ли параметризовать битовое поле в verilog?По сути, я хочу использовать параметр или...

funkyeah / 23 июня 2011
2 голосов
3 ответов

Итак, я разрабатываю ALU для архитектуры MIPS и пытаюсь сделать сдвиг влево и вправо, чтобы ALU мог...

Adriano / 22 июня 2011
0 голосов
3 ответов

Это может выглядеть повторением моего предыдущего вопроса. Но я думаю, что нет. Я ищу методику...

Kiran / 18 июня 2011
1 голос
1 ответ

Я пытаюсь преобразовать входное слово, выходящее из демодулятора DQPSK (тип: UFix2_0), в...

Kiran / 18 июня 2011
3 голосов
4 ответов

поэтому я наткнулся на какой-то старый код, который мне нужно скопировать, но он не скомпилируется...

Jamal / 16 июня 2011
3 голосов
1 ответ

Меня интересует технология ПЛИС.Я хотел бы купить один для тестирования аппаратной реализации /...

Marek Sebera / 14 июня 2011
0 голосов
2 ответов

Мне нужно передать видеоданные в и с устройства FPGA через PCI в среде Linux. Я использую ядро...

mksuth / 09 июня 2011
10 голосов
4 ответов

Мне любопытно услышать мнение людей о том, как трудно было бы реализовать компилятор на ПЛИС.Это...

runeks / 06 июня 2011
0 голосов
2 ответов

Я пытаюсь уменьшить количество логических элементов в моем VHDL-коде.Я использую Quartus II для...

Ashish Agarwal / 05 июня 2011
0 голосов
1 ответ

Я просто не могу понять, как поступить: Я пытаюсь построить модель: Было бы 4 входа (булево i / p)...

Kiran / 31 мая 2011
2 голосов
1 ответ

Я пытаюсь построить модель для сравнения входных данных для конкретной битовой комбинации. Например...

Kiran / 27 мая 2011
3 голосов
1 ответ

У меня есть плата Atlys http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,836&Prod=ATLYS...

Andrey Pesoshin / 26 мая 2011
1 голос
2 ответов

Я пытаюсь сделать цифровые часы, используя VHDL, и я хочу отобразить результат на экране VGA.Но я...

makyol / 24 мая 2011
1 голос
1 ответ

Я пишу драйвер устройства для Xilinx Virtex-6 X8 PCI Express Gen 2 Комплект для оценки / разработки...

Injener / 21 мая 2011
2 голосов
1 ответ

Я строю модель, которая требует от меня найти максимум набора из 8 сигналов, а также найти индекс...

Kiran / 19 мая 2011
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...