Я не очень хорошо разбираюсь в VHDL. Я пытаюсь сделать UART с 9600 BAUD 8-n-1 в VHDL.В настоящее...
Я пытаюсь реализовать счетчик, который выдает в качестве выходных значений от одного до шести,...
Я получил код, в котором кодер как-то написал: a <= a + 1 Где a равно std_logic_vector (15...
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity struture_test is Port (...
Я создал битовый поток для четырехбитного полного сумматора, используя параллельные операторы....
Мне нужно сгенерировать случайное целое число от 0 до 1023 в VHDL, однако я не смог найти хороший...
Я купил дешевую доску с Altera Cyclone II у ebay и хочу начать экспериментировать. Я создал простую...
Я немного новичок в VHDL и стараюсь учиться на примерах.Короче говоря, я начал с нескольких...
Я хочу, чтобы все запросы на чтение и запись к устройству PCIe кэшировались кэшами ЦП.Однако это не...
Я работаю на плате de2-115i. Я хочу перенести данные (изображение) из комплекта на компьютер. Как...
В моем проекте данные записываются в BRAM (сгенерированный с помощью IP-генератора Block Ram) с...
В чем преимущество использования testbench вместо файла ".do" в ModelSim? Файл .do позволяет мне...
Можно ли сгенерировать тактовую частоту 1000 МГц из 100 МГц в VHDL? Я хочу создать счетчик 1 нс, и...
Я заинтересован в использовании J1 CPU в проекте FPGA. Я понимаю, что для использования самого...
Есть похожий вопрос здесь , на который был дан ответ Да, rising_edge()/falling_edge() следует...
Я получаю свой выходной сигнал умножения матриц в шестнадцатеричном виде, но не в виде матрицы, как...
Я пытаюсь реализовать множитель с фиксированной запятой для ПЛИС Xilinx. Входы a и b представляют...
Я пытаюсь использовать буфер, чтобы сделать анимацию более плавной в моем текущем проекте. Я смог...
надеюсь, что у вас все хорошо, и надеюсь, что вы можете помочь мне с этим Я отправляю некоторые...
Я проектирую периферийное устройство последовательного порта в ПЛИС, в котором регистры отображения...
Я пытаюсь сделать вывод VGA, используя verilog, но я не могу понять, почему r_hcount остается X....
Это вопрос, на который я пытаюсь ответить. Разработайте и смоделируйте 2-битный счетчик, который...
Я работаю с дизайном Xilinx Ethernetlite (LWIP).Я могу передавать данные с платы KC на ПК...
У меня есть симуляция, в которой используется проверочный IP-адрес Xilinx AXI.Тест компилируется и...
Этим летом я купил DE1 SoC и запрограммировал небольшой процессор в часть FPGA.В то время у меня...