Вивадо 2016.3 никогда не заканчивает синтез - PullRequest
0 голосов
/ 14 сентября 2018

Я пытаюсь запустить свой VHDL-код на Vivado 2016.3, но даже через 5 часов он не закончился.

Затем я написал простой 4x1 mux в verilog, запустил его на 2016.3 и 2015.4, то же самое произошло

Пожалуйста, помогите в этом отношении

...