Vivado: Определить комбинационный логический сигнал как часы в файле ограничений - PullRequest
0 голосов
/ 27 сентября 2018

У меня есть часы 80 МГц, сгенерированные из часов Vivado PLL.Я пытаюсь сгенерировать часы с тактовой частотой 2 МГц из 80 МГц с помощью счетчика, а затем использовать сгенерированные часы с тактовой частотой 2 МГц в качестве системных часов:

always @(posedge clk2mhz) begin ... end

Однако Vivado показывает проблемы с задержкой на сгенерированных часах с тактовой частотой 2 МГц.

Я полагаю, что проблема с синхронизацией может быть решена, если бы я определил свои сгенерированные часы 2 МГц как часы в файле ограничений, но как я могу это сделать?

Обратите внимание, что я могу 't генерировать тактовые импульсы 2 МГц из ФАПЧ, поскольку минимальная частота, которую могут генерировать тактовые импульсы ФАПЧ, составляет около 4 МГц.

...