Не удается скомпилировать пакет VHDL - ошибка Modelsim: (vcom-1576) ожидает END - PullRequest
0 голосов
/ 11 октября 2018

Довольно просто, но я вырываю волосы и мне нужны свежие глаза.Проблема подробно описана ниже, изначально у меня была проблема с гораздо более крупной упаковкой, содержащей несколько предметов, поэтому я перебрал все обратно к основам и до сих пор не могу ее решить ...

заранее спасибо

g

Простой код:

----------------------------------
--  LIBRARY_DECLARATIONS
----------------------------------
library STD;
use     STD.standard.all;
----------------------------------
library IEEE;
use     IEEE.std_logic_1164.all;
----------------------------------
--  PACKAGE_DECLARATION
----------------------------------
package Dummy_pkg is

   component dummy_comp is
      (
         SIG_IN  : in    std_logic;
         SIG_BI  : inout std_logic;
         SIG_OUT : out   std_logic
      );
   end component dummy_comp;

end package TB_PHAS_FPGA_DUT_pkg;

package body TB_PHAS_FPGA_DUT_pkg is
end package body TB_PHAS_FPGA_DUT_pkg;

И это ошибка, которую я получаю от Modelsim (версия MS):

vcom -reportprogress 300 -work work C:/_WorkDir/pkg_issue/Dummy_pkg.vhd
# Model Technology ModelSim Microsemi vcom 10.5c Compiler 2016.07 Jul 21 2016
# Start time: 13:49:21 on Oct 11,2018
# vcom -reportprogress 300 -work work C:/_WorkDir/pkg_issue/Dummy_pkg.vhd 
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Compiling package Dummy_pkg
# ** Error: C:/_WorkDir/pkg_issue/Dummy_pkg.vhd(20): near "(": (vcom-1576) expecting END.
# End time: 13:49:21 on Oct 11,2018, Elapsed time: 0:00:00
# Errors: 1, Warnings: 0

Ответы [ 2 ]

0 голосов
/ 11 октября 2018

Понятно - мне не хватает 'port' в объявлении компонента .... всегда после того, как вы публикуете ... типично ... извините, что теряю время.
rgds, g.

0 голосов
/ 11 октября 2018

Вы имели в виду:

   component dummy_comp is
      port                           --  <--------------------
      (
         SIG_IN  : in    std_logic;
         SIG_BI  : inout std_logic;
         SIG_OUT : out   std_logic
      );
   end component dummy_comp;
...