Ошибка VHDL: неоднозначный тип в выражении инфикса;reg_buff или data_buff - PullRequest
0 голосов
/ 18 декабря 2018

я получаю следующую ошибку в ModelSim при выполнении преобразования типа

Неоднозначный тип в выражении инфикса;reg_buff или data_buff.

Ошибка: (vcom-1583) Переговор недопустимого типа от «неизвестно» до «ieee.NUMERIC_STD.UNSIGNED» (тип операнда неизвестен).

--declaration of types:                 
type packet_ipg_array is 
    array (1 downto 0) of integer;

type packet_buffer_array is 
    array (1 downto 0, packet_buffer_size-1 downto 0) of std_logic_vector(8 downto 0);

variable packet_ipg     : packet_ipg_array; 
variable packet_buffers : packet_buffer_array; 

--conversion:
packet_ipg(i) := 
    to_integer(unsigned(
          packet_buffers(i,packet_buffer_bytes_sent(i))(7 downto 0) 
        & packet_buffers(i,packet_buffer_bytes_sent(i)+1)(7 downto 0)  
        & packet_buffers(i,packet_buffer_bytes_sent(i)+2)(7 downto 0)  
        & packet_buffers(i,packet_buffer_bytes_sent(i)+3)(7 downto 0)
    ));

Может ли кто-нибудь помочь мне, пожалуйста?

...