Vivado Clock Ошибка реализации SystemVerilog - PullRequest
0 голосов
/ 06 ноября 2019

Я получаю следующую ошибку реализации. У меня нет часов в моем дизайне. Как я могу это исправить?

Реализация Place Place [Place 30-574] Плохое размещение для маршрутизации между выводом IO и BUFG. Если это субоптимальное условие приемлемо для этого проекта, вы можете использовать ограничение CLOCK_DEDICATED_ROUTE в файле .xdc, чтобы преобразовать это сообщение в ПРЕДУПРЕЖДЕНИЕ. Тем не менее, использование этого переопределения крайне не рекомендуется. Эти примеры можно использовать непосредственно в файле .xdc для переопределения этого правила синхронизации.

en_IBUF_inst (IBUF.O) is locked to IOB_X0Y11
 and en_IBUF_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y0

[Place 30-99] Placer не удалось с ошибкой: 'IO Clock Placer fail' Пожалуйста, просмотрите все сообщения об ошибках, CRITICAL WARNING и WARNING во время размещения, чтобы понятьпричина сбоя.

[Common 17-69] Команда завершилась неудачно: Placer не смог разместить все экземпляры

1 Ответ

0 голосов
/ 07 ноября 2019

Похоже, вы пытаетесь использовать булавку, не поддерживающую глобальные часы, в качестве часов внутри вашего дизайна. Я не уверен, что вы подразумеваете под «у меня нет часов в моем дизайне», но учтите, что даже если ваш проект асинхронный, я полагаю, что Vivado будет использовать ресурсы часов для включения защелки - или возможно, что у вас есть часы (это будетбыть, например, always @(posedge ...)) и не осознавать этого.

Либо вам необходимо использовать вывод с тактовой частотой для входа часов;или примите возможные проблемы, такие как искажение коэффициента заполнения при использовании маршрутизации без поддержки часов, и устраните ошибку, используя предложенную команду "set_property" в файле xdc.

...