Как запустить файл verilog с помощью GTKWave? - PullRequest
0 голосов
/ 18 апреля 2020

Для назначения я выполнил пару файлов iverilog, которые мне дали, и доложил о результатах. Итак, я проверил и установил iverilog и gtkwave и установил путь. Я ввожу windows power shell в папку, в которой я сохранил предварительно созданные файлы .v, и записываю команды в соответствии с инструкциями (счетчик - первый пример):

iverilog -o counter counter.v

vvp counter

после этого в папке появляется файл с именем «counter» без формата вместо файла .VCD, поэтому я переименую его с расширением .vcd. Вернувшись на GTKWave, я открываю новую вкладку и выбираю файл, который был только что переименован в counter.vcd, просто чтобы появилось следующее сообщение об ошибке:

Near byte 148, VCD search table NULL..is this a VCD file?
Near byte 148, Unknown VCD identifier: ';'
No symbols in VCD file..is it malformed?  Exiting!
GTKWAVE | File load failure, new tab not created.

, так что сейчас я рисую полную пробел, любые идеи почему я не могу запустить этот файл на GTKWave?

...