Объявить псевдоним как вектор нескольких сигналов - PullRequest
0 голосов
/ 23 января 2020

Я хотел бы объявить псевдоним в моем коде VHDL, который будет вектором std_logic_vector.

alias my_alias : slv2_vector(7 downto 0) is (7 => signal_7 ,
                                             6 => signal_6 ,
                                             5 => signal_5 ,
                                             4 => signal_4 ,
                                             3 => signal_3 ,
                                             2 => signal_2 ,
                                             1 => signal_1 ,
                                             0 => signal_0 );

с типом slv2_vector следующим образом:

type slv2_vector    is array (natural range <>) of std_logic_vector(2-1 downto 0);

Кроме того, каждый signal_0 , signal_1 - это std_logic_vector (от 1 до 0).

Мне нужно объявить этот вектор std_logic_vector, чтобы я мог использовать my_alias в al oop вместо 8-кратного ввода кода с signal_0, signal_1, ...

Когда я компилирую свой код, я получаю эту ошибку:

** Error : near "(": (vcom-1576) expecting CHARACTER or STRING or IDENTIFIER or <<.

Как я могу объявить свой псевдоним способом, который скомпилирует?

...