Я новичок в Verilog, если начальный блок не может быть синтезирован, то как инициализировать регистры без сброса, пожалуйста, кто-то объяснить - PullRequest
0 голосов
/ 01 мая 2020

Предположим, что в этом модуле массив должен содержать следующие значения, чтобы я мог продолжить оставшуюся часть работы, как инициализировать массив на начальном этапе.

module mem();

  reg i;
  reg [9:0] valueI[0:2];

  initial begin
    valueI[0]=10'b1111111111;
    valueI[1]=10'b0000011111;
    valueI[2]=10'b1111100000;
  end

endmodule

Если начальный блок не может быть Синтезировал тогда, как инициализировать регистры без сброса, пожалуйста, кто-то объяснить

1 Ответ

0 голосов
/ 02 мая 2020

Хотя вы не можете сделать это вообще, на FPGA Xilinx, например, начальный оператор Verilog установит начальное значение регистров после конфигурации FPGA.

...