Язык спецификации свойств (PSL) в VHDL-2008 - PullRequest
1 голос
/ 01 августа 2020

В моем недавнем проекте я хочу использовать проверку утверждения. VHDL-2008 имеют встроенную поддержку PSL. Но я не могу найти "руководство для начинающих" или что-то еще для начала. Я нашел только одну книгу «Практическое введение в PSL» и не могу купить ее у себя.

У кого-нибудь есть ссылки на учебные пособия или некоторые книги по PSL? Может быть, еще предложения, как внедрить технологию PSL?

...