Выход FSM никогда не устанавливается - PullRequest
2 голосов
/ 26 мая 2020

У меня возникли проблемы с имитацией следующего FSM в iverilog с помощью gtkwave. Входные данные, предоставленные тестовой средой, меняются при просмотре в gtkwave. Кроме того, я не думаю, что logi c неправильный. Ошибок компиляции тоже нет, но вывода все равно нет. Не могу исправить ошибку.

output

state diagram

Код :

module seq_0110(sequence_in,clock,reset,detector_out
    );
input clock; // clock signal
input reset; // reset input
input sequence_in; // binary input
output reg detector_out; // output of the sequence detector
//parameter  Zero=3'b000, // "Zero" State
//  One=3'b001, // "One" State
//  OneZero=3'b011, // "OneZero" State
//  OneZeroOne=3'b010, // "OnceZeroOne" State
//  OneZeroOneOne=3'b110;// "OneZeroOneOne" State
reg [1:0] current_state, next_state; // current state and next state
// sequential memory of the Moore FSM
always @(posedge clock, posedge reset)
begin
 if(reset==1) 
 current_state <=2'b00;// when reset=1, reset the state of the FSM to "Zero" State
 else
 current_state <= next_state; // otherwise, next state
end 
// combinational logic of the Moore FSM
// to determine next state 
always @(current_state,sequence_in)
begin
 case(current_state) 
 2'b00:begin
  if(sequence_in==1)
   next_state <= 2'b00;
  else
   next_state <= 2'b01;
 end
 2'b01:begin
  if(sequence_in==1)
   next_state <= 2'b10;
  else
   next_state <= 2'b01;
 end
 2'b10:begin
  if(sequence_in==1)
   next_state <= 2'b11;
  else
   next_state <= 2'b01;
 end 
 2'b11:begin
  if(sequence_in==1)
   next_state <= 2'b00;
  else
   next_state <= 2'b01;
 end

 default:next_state <= 2'b00;
 endcase
end
// combinational logic to determine the output
// of the Moore FSM, output only depends on current state
always @(current_state)
begin 
 case(current_state) 
 2'b00:   detector_out <= 1'b0;
 2'b01:   detector_out <= 1'b0;
 2'b10:  detector_out <= 1'b0;
 2'b11:  detector_out <=(sequence_in==1)?1'b0:1'b1;

 default:  detector_out <= 1'b0;
 endcase
end 
endmodule

Тест Тест

`timescale 1ns / 1ps

module seq_0110_t;

 // Inputs
 reg sequence_in;
 reg clock;
 reg reset;

 // Outputs
 wire detector_out;

 // Instantiate the Sequence Detector using Moore FSM
 seq_0110 uut (
  .sequence_in(sequence_in), 
  .clock(clock), 
  .reset(reset), 
  .detector_out(detector_out)
 );
 initial 
begin
 clock = 0;
 forever #5 clock = ~clock;
 end 

 initial 
begin
  // Initialize Inputs
  $dumpfile("seq_0110.vcd");
  $dumpvars(0,seq_0110_t);
  $monitor($time,"sequence_in=%b detector_out=%b",sequence_in,detector_out);
  sequence_in = 0;
  reset = 1;
  // Wait 100 ns for global reset to finish
  #30;
      reset = 0;
  #40;
  sequence_in = 0;
  #10;
  sequence_in = 0;
  #10;
  sequence_in = 1; 
  #20;
  sequence_in = 1; 
  #20;
  sequence_in = 0; 
  #20;
  sequence_in = 0;  
  #20;
  sequence_in = 1;  
  #20;
  sequence_in = 1;  
  #20;
  sequence_in = 0;  
  #20;
  sequence_in = 0;  

// Add stimulus here
  #10 $finish;

 end

endmodule

1 Ответ

1 голос
/ 26 мая 2020

С вашим входным стимулом выход (detector_out) всегда равен 0.

Единственный способ установить detector_out в 1 - это следующий оператор:

 2'b11:  detector_out <=(sequence_in==1)?1'b0:1'b1;

Это означает, что current_state должно быть 3, а sequence_in = 0. Но в ваших входных волнах sequence_in всегда равно 1, когда current_state = 3.

Если вы не думаете, что logi c неправильный, то настройте входной стимул, чтобы он попал в этот случай. Вот один способ (см. Мои <----- комментарии):

 initial 
begin
  // Initialize Inputs
  $dumpfile("seq_0110.vcd");
  $dumpvars(0,seq_0110_t);
  $monitor($time,"sequence_in=%b detector_out=%b",sequence_in,detector_out);
  sequence_in = 0;
  reset = 1;
  // Wait 100 ns for global reset to finish
  #30;
      reset = 0;
  #45;                             // <------ more delay
  sequence_in = 0;
  #10;
  sequence_in = 0;
  #10;
  sequence_in = 1; 
//  #20;                          <------ commented out
//  sequence_in = 1;              <------ commented out
  #20;
  sequence_in = 0; 
  #20;

Я сократил время sequence_in = 1. Это устанавливает detector_out = 1 в момент времени 115:

           0sequence_in=0 detector_out=0
          95sequence_in=1 detector_out=0
         115sequence_in=0 detector_out=1
         125sequence_in=0 detector_out=0
         155sequence_in=1 detector_out=0
         195sequence_in=0 detector_out=0

У меня есть пара других рекомендаций. Для ваших 2 комбинационных блоков always вам следует рассмотреть возможность использования неявных списков чувствительности (always @*) и назначений блокировки (=).

...