Как мне реализовать синтезируемый DPLL в Verilog? - PullRequest
2 голосов
/ 27 октября 2010

Есть ли какой-нибудь прямой способ реализовать полностью цифровую фазовую синхронизацию в синтезируемом Verilog? Все (включая VCO) должно быть синтезировано. Сигналы, которые я собираюсь зафиксировать, составляют ~ 0,1-1% от тактовой частоты системы. Я использую тот, который я реконструировал из документов IEEE 1980-х, но он не ведет себя так хорошо, как рекламируется.

Для простоты замок может работать с двоичным импульсным сигналом.

1 Ответ

1 голос
/ 27 октября 2010

В конструкциях FPGA я обычно использую встроенные DCM или PLL.

В Cyclone 2 встроено до 4 PLL.

Посмотрите на PLL в Cyclone2 .

...