Для Verilog / VHDL моделирования: как открыть файл modelsim wlf из командной строки? - PullRequest
0 голосов
/ 06 июня 2019

С помощью GtkWave я могу просто открыть файл формы сигнала vcd из командной строки следующим образом:

PS> gtkwave waves.vcd

Теперь мне нужно переключиться на modelsim, и мне также нужна эта функция. Как мне открыть файл формы modelsim wlf из командной строки и открыть окно волн так же, как gtkwave? Я хочу сделать что-то вроде этого:

PS> start vsim -do "wave open vsim.wlf"
ERROR: bad tcl syntax...

Лучшее, что я могу сделать, - это просто открыть окно волны:

PS> start vsim -do "view wave"

1 Ответ

3 голосов
/ 06 июня 2019

Вы ищете вариант -view моделей:

vsim -view vsim.wlf

откроет отслеживаемый набор данных в modelsim, там вы можете

do wave.do

, чтобы открыть файл формы волны.

Вы также можете сделать все это вместе

vsim -view vsim.wlf -do wave.do
...