Я не могу просмотреть memory с помощью gtkwave: module internal_memory( output [31:0] hrdata, input...
Существует алгоритм динамического программирования для поиска самой длинной общей...
При проектировании схемы в verilog с использованием метода сверху вниз, я могу начать с поведения...
Я новичок в Verilog. Я написал код для преобразования значения провода в целое число: wire [31:0]...
[ПРИМЕЧАНИЕ: я искал заранее и не мог найти совет по решению проблемы LCS для всех...
Это вопрос, выпущенный Verilog.Я работаю с XILINX ISE в качестве среды разработки. Я пытаюсь...
Я только что создал собственный IP-адрес в Xilinx, он сгенерировал файл user_logic, который мне...
Проблема: Нужна длина LCS между двумя строками. Размер строк не более 100 символов. Алфавит обычный...
У меня есть несколько VHDL-файлов, которые я могу скомпилировать с ghdl в Debian. Одни и те же...
Я пытаюсь создать программу на C ++, которая может различать два файла .txt. struct line { string...
В чем разница между: if (dataoutput[7:0] == 8'bx) begin и if (dataoutput[7:0] === 8'bx)...
Вы можете сказать, в чем смысл этого всегда @ * Есть ли какие-либо возможные побочные эффекты после...
module DoorControl( clk, data, open,addressOftheMemory, outp ); localparam Size_ofTheWord = 32;...
Вместо использования module ... ( .. ) ; #15 endmodule Я хочу использовать module ... ( ... ) ; //...
Как, например, наилучшим образом использовать ретайминг и / или c-slow, чтобы максимально...
Когда мы используем input reg [7:0] ast, f_out; ast === f_out ; ast <= ast + 8'b00000001;...
module fronter ( arc, length, clinic ) ; input [7:0] arc; output reg [7:0] length ; input [1:0]...
X, Y, Z, T - разные работы.Например, X = мультиплексор (...) if ( empty1 ) if ( empty2 ) if (...
Я нахожусь в процессе изучения VHDL и пытаюсь просто учиться на примерах, руководствах по...
Благодаря вашему вкладу я реализовал ваши предложения, однако проблема осталась прежней.Результат в...
Я пытаюсь реализовать однобитный счетчик, используя структурные VHDL и компоненты.Я получаю...
этот вопрос, вероятно, не очень хорошо объясним, и это потому, что я не совсем понимаю, что...
Я пытаюсь найти самую длинную общую подпоследовательность из 3 или более строк. В статье Википедии...
У меня очень простая проблема, но я не понимаю, что происходит не так. По сути, все это прекрасно...
У меня есть этот кусок IP, который должен быть 32-битной адресуемой памятью. Но я не могу сделать...