Вопросы с тегом ПЛИС - PullRequest

Вопросы с тегом ПЛИС

0 голосов
0 ответов

Можно ли настроить любую FPGA или CPLD («запрограммировать») без автоматического генератора? Я могу...

NickDoom / 12 марта 2019
0 голосов
0 ответов

В контексте проекта я должен реализовать многопользовательскую игру на плате FPGA (подключенной к...

M. Wattiaux / 11 марта 2019
0 голосов
0 ответов

Сначала я загружаю код cpld, за которым следуют зависания (файлы mcs) и файлы изображений. После...

Rakesh Attuluru / 07 марта 2019
0 голосов
1 ответ

в статье Методы кодирования и написания сценариев для проектов FSM с оптимизированными синтезом...

Mel / 25 февраля 2019
0 голосов
1 ответ

Следующий исходный код verilog и / или testbench прекрасно работают на коммерческих симуляторах,...

kevin998x / 12 февраля 2019
0 голосов
0 ответов

Почему следующий код умножения verilog не умножается? Кроме того, для отладки кода мне нужен доступ...

kevin998x / 09 февраля 2019
0 голосов
1 ответ

Мой VHDL-код работает не так, как я ожидал. Что я хочу: у меня 32-битный поток входных данных и...

Ezk13 / 01 февраля 2019
0 голосов
0 ответов

Полагаю, «write_ptr_gray_nxt» также следует сбросить. «Write_ptr_gray_nxt» является частью «полной»...

kevin998x / 24 января 2019
0 голосов
1 ответ

Этот код используется для включения светодиода, если переключатель включен.Это верхний модуль....

Ben Bitdiddle / 23 декабря 2018
0 голосов
2 ответов

Я столкнулся с проблемой дизайна.У меня есть плата с Xilinx Zynq Soc, включающая двухъядерный ARM9,...

Franta Fus / 07 декабря 2018
0 голосов
2 ответов

У меня есть система, у которой есть некоторые тайм-ауты, которые имеют порядок секунд, для целей...

Taako / 05 декабря 2018
0 голосов
0 ответов

У меня есть специальная плата с двумя физическими ПЛИС, каждая из которых имеет два процессора,...

Richard / 09 ноября 2018
0 голосов
0 ответов

Я хочу реализовать сериализатор / десериализатор (SerDes) в VHDL.На самом деле, это больше похоже...

Khadysr / 12 октября 2018
0 голосов
1 ответ

Исходя из моего понимания VHDL, объявление карты портов будет выглядеть следующим образом: signal...

schadjo / 11 октября 2018
0 голосов
0 ответов

У меня есть вопрос относительно моего кода в VHDL;Я хотел бы реализовать драйвер для АЦП (AD7476A)...

Khadysr / 08 октября 2018
0 голосов
1 ответ

Я работаю с комплектом DE2i 150 и использую внешний (SMA) тактовый выход (контакт J31) для...

hiếu nguyễn / 03 октября 2018
0 голосов
2 ответов

Я практикую VHDL, и у меня есть фундаментальный вопрос о «простых» утверждениях, которые не требуют...

graille / 03 октября 2018
0 голосов
1 ответ

Какими должны быть индексные диапазоны параметра init в этом случае: parameter zero = 0; parameter...

J. Doe / 12 сентября 2018
0 голосов
1 ответ

Я начинающий, изучаю FPGA. Я путаю проблему. У меня есть код и тип данных, который я использую, с...

John / 05 сентября 2018
0 голосов
0 ответов

Я пытаюсь использовать компонент памяти DDR3 на моей плате Arty (https://reference.digilentinc

NSH / 30 августа 2018
0 голосов
2 ответов

В настоящее время я разрабатываю ядро ​​шифрования AES для платы FPGA Pynq-Z1. Я хотел бы видеть...

user40921 / 28 июня 2018
0 голосов
2 ответов

Я новичок в программировании и ПЛИС.Мне нравится запускать программу на моем компьютере с Windows...

Satnam Sidhu / 08 июня 2018
0 голосов
2 ответов

Я пытаюсь создать синтезируемый код VHDL, который использует некоторые функции многомерного массива

electro_sm11 / 22 мая 2018
0 голосов
1 ответ

Я использую плату VC707 Fpga, которая включает в себя Virtex 7 Xilinx FPGA.Я хочу передать данные...

user9684614 / 16 мая 2018
0 голосов
2 ответов

Я пытаюсь понять, насколько хорошо FPGA может выполнять хэширование SHA1. Для справки, SHA1...

Eugene Smith / 08 мая 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...