Проблемы с моделированием данных на Verilog - PullRequest
0 голосов
/ 05 мая 2020

Я сделал новый лист вопросов для более подробной информации. Я разрабатываю некоторые коды системы шины данных, используя идеальную SRAM и CPU. Я хочу записать память mem [0] -> IR, и прочитать память IR -> mem [1], и, наконец, записать память mem [1] -> DR.

Я думаю, что выгрузка данных на mem сейчас не нормально, потому что память и dout значение всегда выводятся ZZZZ. Данные в SRAM перемещаются не очень хорошо. Как я могу это исправить?

module sram(addr,clk,din,dout,we);

parameter addr_width = 12, word_depth = 4096, word_width = 16;

input clk,we;
input [addr_width-1:0] addr;
input [word_width-1:0] din;
output [word_width-1:0] dout;


reg [word_width-1:0]mem[0:word_depth-1];
reg [word_width-1:0] dout;

always @(posedge clk) begin
    if(!we)
        mem[addr] <= din[word_width-1:0];
    end

always @(posedge clk) begin

     dout[word_width-1:0] <= mem[addr];
 end

endmodule




module cpu(clk,load,reset,select,ir,dr,ac,ar,pc,addr,we);

input clk,reset;
input [1:0]select;
input [1:0]load;

output reg[15:0] ir,dr,ac;
output reg[11:0] ar,pc;

input we;
input [11:0] addr;

reg[15:0] din;
wire[15:0] dout;

sram sram(addr,clk,din,dout,we);

always @ (posedge clk or negedge reset) begin
    if(!reset) begin
        ar <= 12'b0;  ir <= 16'b0;  pc <= 12'b0;  dr <= 16'b0;  ac <= 16'b0;
    end

    if(select==2'b01 && load==2'b01 && we==1) //read
        ir[15:0] <= dout[15:0];
    else if(select==2'b11 && load==2'b10 && we==0) //write
        din[15:0] <= ir[15:0];
    else if(select==2'b10 && load==2'b11 && we==1) //read
        dr[15:0] <= dout[15:0];
    end

endmodule




module tb_cpu();
parameter addr_width = 12, word_depth = 4096, word_width = 16;

reg clk,reset,we;
reg [1:0]select;
reg [1:0]load;
reg [addr_width-1:0] addr;
wire [word_width-1:0] ir,dr,ac;
wire [word_width-5:0] ar,pc;
integer file_pointer;
integer file_pointer2;

wire [word_width-1:0]mem[0:word_depth-1];
wire [word_width-1:0] dout;

cpu cpu(clk,load,reset,select,ir,dr,ac,ar,pc,addr,we);

always #5 clk = ~clk;

initial begin
    clk = 0; we = 0; reset = 1;
    #2 reset = 0; 
    #2 reset = 1;

    file_pointer = $fopen("reg.dat");
    file_pointer2 = $fopen("memory.dat");

    $readmemb("sram.dat", tb_cpu.cpu.sram.mem);

    #10 select = 2'b01; load = 2'b01; we = 1; addr = 12'b000000000000; //cycle 1
    #10 select = 2'b11; load = 2'b10; we = 0; addr = 12'b000000000001; //cycle 2
    #10 select = 2'b10; load = 2'b11; we = 1; addr = 12'b000000000001; //cycle 3

    $fdisplay(file_pointer, "AR = %b", tb_cpu.cpu.ar);
    $fdisplay(file_pointer, "IR = %b", tb_cpu.cpu.ir);
    $fdisplay(file_pointer, "PC = %b", tb_cpu.cpu.pc);
    $fdisplay(file_pointer, "DR = %b", tb_cpu.cpu.dr);
    $fdisplay(file_pointer, "AC = %b", tb_cpu.cpu.ac);

    $fdisplay(file_pointer2, "mem[0000 0000 0000] = %b",tb_cpu.cpu.sram.mem[000000000000]);
    $fdisplay(file_pointer2, "mem[0000 0000 0001] = %b",tb_cpu.cpu.sram.mem[000000000001]);
    $fdisplay(file_pointer2, "mem[0000 0000 0010] = %b",tb_cpu.cpu.sram.mem[000000000010]);

     $fclose(file_pointer);
     $fclose(file_pointer2);

    #10 $finish;
end    
endmodule

1 Ответ

0 голосов
/ 05 мая 2020

Я думаю, причина в том, что вам нужно 2 тактовых цикла для распространения mem->dout->ir.

В вашем случае sram читает dout в posedge clk. В этой же постановке вы превращаете sh его значение в ir. Но аппаратное обеспечение и моделирование pu sh старое значение dout, которое было xxx.... Вам нужно дать ему больше времени, чтобы успокоиться. Итак, если вы используете #20 вместо #10 в своем тестовом стенде, вы должны увидеть другие результаты.

...