Вопросы с тегом Кварт - PullRequest

Вопросы с тегом Кварт

0 голосов
0 ответов

Итак, я должен реализовать простую функцию F с минимальными затратами с использованием компонентов

Marios Moustakidis / 29 марта 2019
0 голосов
0 ответов

У меня небольшие проблемы с моим кодом. Я написал простой код для последовательного считывателя для...

L Rong Fei / 26 марта 2019
0 голосов
0 ответов

В моем проекте Quartus я создал два файла пакета. Я создаю файл, который хочет использовать оба из...

Broxigar / 26 марта 2019
0 голосов
1 ответ

Когда я пытаюсь смоделировать модуль с помощью редактора сигналов моделирования Quartus prime,...

alexanderd5398 / 25 марта 2019
1 голос
1 ответ

Я разрабатываю модуль, который принимает несколько каналов и выводит один канал. Каждый канал...

csehydrogen / 21 марта 2019
0 голосов
0 ответов

Я пишу на VHDL ( в Quartus II Web Edition [Software v9.1 Service Pack 2] ) для моего проекта, и я...

Broxigar / 13 марта 2019
0 голосов
0 ответов

Я хотел бы использовать плату Altera DE1_soc для последовательной передачи данных с моего ПК на...

LEE / 19 февраля 2019
0 голосов
1 ответ

Ошибка (13356) цикл должен завершиться в течение 5000 итераций Quartus II

Ramin Rasoulinezhad / 19 февраля 2019
0 голосов
1 ответ

Я пытаюсь реализовать процессор MIPS с одним циклом через Quartus 2 и столкнулся с этими...

Yunus Gedik / 16 февраля 2019
0 голосов
1 ответ

Я знаю VHDL, и теперь я пытаюсь сделать немного verilog.У меня есть два файла, один из которых...

sloeberGJ / 12 февраля 2019
0 голосов
0 ответов

Мне нравится, когда файл проекта остается без изменений, если я не приму активное решение добавить...

Bimme / 31 января 2019
0 голосов
1 ответ

Я пытаюсь вывести один бит за раз через SPI из известного 2D-массива. logic [7:0] fpga_status_queue...

Sabersimon / 24 января 2019
0 голосов
0 ответов

Я новичок в FPGA, и мне нужна помощь, если это возможно. Я хотел бы реализовать схему...

Ioan Kats / 04 января 2019
0 голосов
1 ответ

Есть ли проблемы с использованием версии зашифрованных файлов Quartus, специфичной для Quartus 14.1...

Mel / 02 января 2019
0 голосов
1 ответ
0 голосов
1 ответ

У меня есть специальный FSM, который работает просто отлично.но я хочу начать с определенного...

islam toukhy / 31 декабря 2018
0 голосов
1 ответ

Мне нужно реализовать сторожевой таймер на моей плате Cyclone II FPGA.Я спроектировал систему с...

wi95 / 29 декабря 2018
0 голосов
0 ответов

Я пытаюсь сделать лифт, используя циклы while.но я продолжаю получать ту же ошибку для циклов...

islam toukhy / 25 декабря 2018
0 голосов
1 ответ

Привет! Я проектирую 32-битный микроконтроллер ISA RISCV, и я организовал ПЗУ в виде массивов по 8...

EduardoG / 23 декабря 2018
0 голосов
1 ответ

VHDL позволяет передавать действительные числа (с плавающей запятой) через порты? Для этого кода:...

osb / 15 декабря 2018
0 голосов
0 ответов

В настоящее время я работаю над проектом по разработке «игры памяти».В настоящее время у меня 4...

nick spinelli / 09 декабря 2018
0 голосов
1 ответ

Я пытаюсь написать базовую схему в Verilog, используя Quartus Prime в качестве побочного проекта...

bpryan / 27 ноября 2018
0 голосов
0 ответов

Я вынужден использовать схематический подход для проекта (вместо VHDL). Моя проблема заключается в...

Ma Be / 23 ноября 2018
0 голосов
1 ответ

Я использую Linux: parrot 4.18.0-parrot10-amd64 #1 SMP Debian 4.18.10-1parrot10 (2018-10-06) x86_64...

Josep Bové Dalmases / 21 ноября 2018
0 голосов
0 ответов

Я пытался сбросить синтезированный список соединений в кварту после завершения сопоставления...

user2373760 / 15 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...