У меня есть схема стробирования тактовых импульсов, позволяющая установить несколько тактовых...
module muxx(M, X, Y, S, SW,LEDR,LEDG) ( input [17:0]X, input [17:0]Y, output [15:0]LEDR, output...
Привет, у меня есть следующие строки кода внутри модуля testbench в файле SystemVerilog с...
у нас, похоже, проблема со следующим кодом.Получаемая ошибка: Ошибка (10500): синтаксическая ошибка...
У меня уже есть скомпилированная сборка FPGA в моей рабочей области.Когда я открываю его в Quartus...
Quartus возвращает эту ошибку: «и индексирование x возвращает совокупное значение».Код: module...
// это 4: 1 mux Library ieee; use ieee.std_logic_1164.all; ENTITY fourMux IS PORT(B : IN BIT_VECTOR...
Я начинаю получать эту ошибку после того, как я на самом деле делаю регистр статическим. Это хорошо...
Попытка сделать это parameter integer PRECHARGE_CLOCKS = $ceil(PRECHARGE_NS / CLOCK_PERIOD_NS); И...
Я делаю проект с использованием DE1-SoC (FPGA + ARM cortex A9). Вы можете увидеть часть дизайна...
Я хочу построить Qt статически с MySQL, проблема в том, что он связывается с libmysql.lib (dymanic)...
Я разработал Кольцевой генератор для реализации на ПЛИС, используя Alter Quartus 2. Я хочу сказать...
Чтобы изучить VHDL, я реализую свой собственный CPU с VHDL. Я реализую IO с отображением в памяти,...
Чтобы изучить VHDL, я реализую свой собственный CPU с VHDL. Устали от написания битового набора...
Предупреждение: это будет долго. Извините, если это слишком многословно. Я только начинаю изучать...
Я перешел по ссылке ниже, но не могу исправить свои ошибки. Ошибка подключения к порту HDL Verilog...
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std
Я хочу подробно разработать пару компонентов, использующих операторы создания, эти компоненты имеют...
Я пытаюсь смоделировать проект VHDL, и modelsim выдает мне следующую ошибку: > Error:...
У меня есть два 115-битных неподписанных вектора.Я должен выполнить некоторые модовые расчеты, но...
Я пытался собрать и скомпилировать свой дизайн для контроллера i2c - hdmi, однако, когда я впервые...
Я довольно новичок в ModelSim и Quartus, и для университета мне нужно сделать контроллер HDMI через...
Этот простой тест приводит к ошибке при компиляции с modelsim, тогда как Quartus прекрасно подходит...
У меня возникли проблемы с устранением ошибки «тип идентификатора не соответствует его...
Ошибка: Error (10533): VHDL Wait Statement error at DE0.vhd(276): Wait Statement must contain...