Вопросы с тегом долото - PullRequest

Вопросы с тегом долото

0 голосов
1 ответ

Я собираю несколько очень больших проектов в chisel3 с большим количеством проводов и соединений

Matt Feldman / 03 января 2019
0 голосов
1 ответ

Я пытаюсь сделать синтез ASIC для процессора Rocket, который написан Chisel.Он автоматически...

sungbo / 19 декабря 2018
0 голосов
1 ответ

У меня есть похожее определение регистра, и я хочу написать в конструкции regmap.Мой код в...

user3567895 / 13 декабря 2018
0 голосов
1 ответ

Я все еще работаю над созданием ПЗУ DSPComplex и столкнулся с тем, что, по моему мнению, может быть...

soulcmdc / 12 декабря 2018
0 голосов
0 ответов

Я пытаюсь изменить часы и перезагрузки, которые идут на каждую плитку Ракеты в моей системе. В...

jbaxter / 11 декабря 2018
0 голосов
1 ответ

Я хотел загрузить память из файла, используя функции, описанные в этой вики-странице долота .Но это...

FabienM / 07 декабря 2018
0 голосов
1 ответ

Я пытаюсь создать оконную функцию на основе ПЗУ, используя типы DSPComplex и FixedPoint, но, похоже...

soulcmdc / 06 декабря 2018
0 голосов
1 ответ

У меня есть модуль с несколькими DecoupledIO входами и выходами.Есть ли способ подачи стимулов и...

Mikhail Asiatici / 05 декабря 2018
0 голосов
0 ответов

Меня смущает роль блокнота в icache в ядре ракеты.Может ли кто-нибудь помочь объяснить это?

Shibo Chen / 30 ноября 2018
0 голосов
2 ответов

Просто скажите, что вы автоматически генерировали некоторый код долота для некоторой инфраструктуры...

jbaxter / 27 ноября 2018
0 голосов
0 ответов

Я пытаюсь внести некоторые изменения в систему памяти Rocket Core, но мне трудно найти, как ядро...

Shibo Chen / 26 ноября 2018
0 голосов
2 ответов

Я пытаюсь выполнить следующий код: val num1 = 10.U printf(p"num1 = $num1") Я получаю...

caylus / 26 ноября 2018
0 голосов
1 ответ

Следующее определение модуля в долоте: class Mux2 (width: Int = 4) extends Module не приводит к...

caylus / 22 ноября 2018
0 голосов
1 ответ

Вот несколько сгенерированных Verilog из модуля PassTrough, найденного в: https://github

caylus / 21 ноября 2018
0 голосов
1 ответ

Я не уверен, что понимаю, как использовать функцию getVerilog из: https://github

caylus / 21 ноября 2018
0 голосов
1 ответ

В логике обхода ядра ракеты val bypass_sources = IndexedSeq( (Bool(true), UInt(0), UInt(0)), //...

Shibo Chen / 15 ноября 2018
0 голосов
2 ответов

Мы добавили утверждения в наш код долота, но хотим, чтобы они только предупреждали, а не...

seanhalle / 12 ноября 2018
0 голосов
0 ответов

В частности, я бы хотел запустить AXI4XbarTest из файла rocket-chip / src / main / scala / amba /...

Ben Reynwar / 11 ноября 2018
0 голосов
0 ответов

Для синхронизированного провода я обычно делал бы следующее: val gntRisingEdge = gnt &&...

Tampler / 11 ноября 2018
0 голосов
1 ответ

Я пытаюсь запустить verilog-компилятор для файла Firrtl объемом 110 МБ, и я постоянно получаю...

alcorn / 11 ноября 2018
0 голосов
2 ответов

При создании нового «Config» мы определяем функцию, которая принимает три «View» (сайт, здесь,...

Ben Reynwar / 10 ноября 2018
0 голосов
2 ответов

Я вижу некоторые примеры в RocketChip , но не могу найти информацию в Справочнике по API masterNode...

Tampler / 10 ноября 2018
0 голосов
1 ответ

Я пытаюсь использовать ракетное ядро ​​в качестве базового ядра и добавить некоторые дополнительные...

Shibo Chen / 09 ноября 2018
0 голосов
1 ответ

Я пытаюсь синтезировать Rocket-Chip на Vivado. Мне удалось запустить симуляцию на Вивадо и получить...

hitoswal / 08 ноября 2018
0 голосов
1 ответ

Я обнаружил, что при генерации выходных данных Verilog из структуры долота вся «структура»,...

Michael Wrighton / 08 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...