Вопросы с тегом долото - PullRequest

Вопросы с тегом долото

4 голосов
1 ответ

Я сегодня обновил чип ракеты и заметил, что FIRRTL теперь говорит это:...

jbaxter / 06 июня 2019
2 голосов
1 ответ

Я ищу способ долота для выполнения следующей работы: wire [3:0] word; wire bit; assign word =...

iBug / 04 июня 2019
1 голос
1 ответ

Я следовал за некоторыми примерами добавления периферии к ракетному чипу.Я использовал sifive-блоки...

user3567895 / 03 июня 2019
1 голос
1 ответ

поэтому я добавляю, когда блок вокруг строки в коде, но проблема заключается в добавлении этого,...

Ahmed Salman / 02 июня 2019
1 голос
1 ответ

Я пытаюсь получить значение рег и сравнить его с числом внутри и если утверждение val refill_addr =...

A_S / 27 мая 2019
2 голосов
0 ответов

Я хочу изменить значение, хранящееся в регистре ядра ракеты из тестового стенда TestHarness.scala....

Bing / 02 мая 2019
2 голосов
1 ответ

Я пытаюсь использовать функцию BlackBox в Chisel3. Каждый раз, когда я пытаюсь сгенерировать...

Alaa Salman / 14 апреля 2019
5 голосов
1 ответ

Я написал одноцилиндровый ЦП в Chisel3, который реализует большинство инструкций RV32I (кроме CSR,...

dannebra / 09 апреля 2019
2 голосов
1 ответ

Когда я читаю из Chisel wiki , можно объявить несколько тактовых доменов в одном модуле. Но если...

FabienM / 08 апреля 2019
3 голосов
2 ответов

Рассмотрим этот код: import chisel3.experimental.ChiselEnum object MyEnum extends ChiselEnum { val...

iBug / 30 марта 2019
1 голос
1 ответ

Имя регистра в долоте определенно можно найти в verilog. но название провода иногда многоточие в...

jijing / 28 марта 2019
1 голос
1 ответ

Я разрабатываю код в долоте и дважды пытался создать экземпляр модуля Encryption. Если я просто...

Isa / 27 марта 2019
3 голосов
1 ответ

Рассмотрим следующий код: import chisel3._ import chisel3.util._ import chisel3.iotesters._ class...

iBug / 20 марта 2019
3 голосов
1 ответ

Я хочу создать RegNext в RawModule с помощью withClock.Однако это не может работать, пока...

MK.Bin / 17 марта 2019
0 голосов
0 ответов

Я хочу создать настоящий двухпортовый ОЗУ в Chisel и синтезировать код Verilog в Vivado 2018.3.Вот...

MK.Bin / 20 февраля 2019
0 голосов
2 ответов

Зубило выдает исключение с сообщением об ошибке разработки. Ниже приведен пример моего кода в...

BitMaPT / 23 января 2019
0 голосов
2 ответов

пытаюсь закодировать плавающий сумматор;https://github.com/ElectronNest/FPU/blob/master/FloatAdd

S. Takano / 19 января 2019
0 голосов
2 ответов

Я работаю с зубило-шаблон В моем src / main / scala у меня есть две папки, скажем, A и B. В src /...

Isa / 17 января 2019
0 голосов
1 ответ

[error] (run-main-0) java.lang.AssertionError: assertion failed: [error] java.lang.AssertionError:...

Jiong Si / 15 января 2019
0 голосов
1 ответ

Я попробовал простой тест, как показано ниже в chisel3. import chisel3.iotesters.{ChiselFlatSpec,...

BitMaPT / 14 января 2019
0 голосов
1 ответ

Я хотел бы реализовать универсальный addr-декодер. Это следующий вопрос к этому сообщению . class...

Tampler / 13 января 2019
0 голосов
1 ответ

Я пытаюсь изучить chisel3, и я также пытаюсь использовать неявное преобразование типов из Int в...

BitMaPT / 11 января 2019
0 голосов
2 ответов

Мне нужно реализовать пользовательский класс типов для операций Complex DSP и Complex Ring. Я знаю...

Tampler / 10 января 2019
0 голосов
1 ответ

Я хотел бы сделать условное массовое соединение двунаправленных шин, концептуально, как показано...

apuglielli / 09 января 2019
0 голосов
1 ответ

По умолчанию, когда я вызываю функцию ожидаем () в тестере, значения отображаются в виде десятичных...

caylus / 08 января 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...