Вопросы с тегом VHDL - PullRequest

Вопросы с тегом VHDL

0 голосов
0 ответов

Я получил код, в котором кодер как-то написал: a <= a + 1 Где a равно std_logic_vector (15...

rubana / 20 ноября 2018
0 голосов
1 ответ

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity struture_test is Port (...

John / 20 ноября 2018
0 голосов
1 ответ

Здравствуйте, я пытался написать код VHDL для этой схемы.Счетчик должен начать отсчет, когда...

Ioan Kats / 20 ноября 2018
0 голосов
2 ответов

Я хочу разделить число на 512, означающее, что мне нужно сместить его на 9. Например, в моем коде я...

Tomer Polski / 19 ноября 2018
0 голосов
0 ответов

Я создал битовый поток для четырехбитного полного сумматора, используя параллельные операторы....

Sam / 18 ноября 2018
0 голосов
2 ответов

Мне нужно сгенерировать случайное целое число от 0 до 1023 в VHDL, однако я не смог найти хороший...

Bachmanity / 17 ноября 2018
0 голосов
0 ответов

Я новичок в VHDL и пытаюсь создать код, который даст мне точное значение случайной частоты между...

Shai Zohar / 17 ноября 2018
0 голосов
0 ответов

Я пытаюсь реализовать контроллер светофора.Там будет 3 дороги, и на каждой дороге будет установлен...

Beril Bayram / 17 ноября 2018
0 голосов
1 ответ

Я немного новичок в VHDL и стараюсь учиться на примерах.Короче говоря, я начал с нескольких...

Ioan Kats / 16 ноября 2018
0 голосов
0 ответов

Я хочу создать синусоидальную волну из массива вещественных чисел. Вот массив с 12 выборками...

eredin / 16 ноября 2018
0 голосов
0 ответов

У меня есть два объекта на тестовом стенде systemverilog.Один из них предоставляет несколько...

flyingblindonarocketcycle / 15 ноября 2018
0 голосов
1 ответ

Можно ли создавать связанные списки в VHDL? (например, прочитать файл в нулевое время и загрузить в...

Mah / 15 ноября 2018
0 голосов
0 ответов

В настоящее время я делаю конвертер из базы 12 в базу 6. Число в базе 12 имеет двоичное кодирование...

Minh Nguyen / 14 ноября 2018
0 голосов
0 ответов

Я ищу хороший способ назначения std_ (u) логических_векторов без добавления нулей вручную, как в...

Michel G. / 13 ноября 2018
0 голосов
1 ответ

Я хочу быстро протестировать что-то, не проходя долгий процесс создания тестового стенда. Есть ли...

Jaime Fernández / 13 ноября 2018
0 голосов
1 ответ

Я не знаю, почему я получаю эту ошибку (Ошибка (10482): ошибка VHDL в SWSelectorVHDL.vhd (11):...

Muhammad Atif / 13 ноября 2018
0 голосов
0 ответов

Я работаю на плате de2-115i. Я хочу перенести данные (изображение) из комплекта на компьютер. Как...

hiếu nguyễn / 13 ноября 2018
0 голосов
2 ответов

В чем преимущество использования testbench вместо файла ".do" в ModelSim? Файл .do позволяет мне...

Tal J / 12 ноября 2018
0 голосов
1 ответ

Можно ли сгенерировать тактовую частоту 1000 МГц из 100 МГц в VHDL? Я хочу создать счетчик 1 нс, и...

Reza Mohammadi / 12 ноября 2018
0 голосов
2 ответов

Я разрабатываю процессор в VHDL. Я использую ModelSim для моделирования и тестирования. В скрипте...

programonkey / 11 ноября 2018
0 голосов
1 ответ

Есть похожий вопрос здесь , на который был дан ответ Да, rising_edge()/falling_edge() следует...

Andy Ef / 09 ноября 2018
0 голосов
2 ответов

В своей домашней работе я должен положить таблицу истинности и получить из нее схему. Мой код ниже:...

user769708 / 08 ноября 2018
0 голосов
0 ответов

Я новичок в VHDL и изучаю его сам. На практике я выполняю обработку данных (вычисление средних...

Dan / 08 ноября 2018
0 голосов
0 ответов

Я создал этот код VHDL, и тестирование его с помощью файла VWF кажется работоспособным. Но конечный...

Giorgio Di Rocco / 07 ноября 2018
0 голосов
1 ответ

Напишите модуль VHDL для параллельного правого сдвига параллельного входа регистр рисунка...

John / 07 ноября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...