Я кодирую 4-битный двоичный сумматор с аккумулятором: library ieee; use ieee.std_logic_1164.all;...
Как я могу прочитать данные из rom_type? entity my_rom is port( addr: in std_logic_vector(3 downto...
Рассмотрим: процесс (а) Согласно тексту у меня есть: Процесс впервые вводится во время...
Как отправить данные, представленные двоичной строкой (например, "01011101000100111",...
Я всегда хотел создать свой собственный микропроцессор. Я прочитал Как я могу создать свой...
В настоящее время я пишу 32-битный ALU (Add / Sub) в VHDL. У меня проблема с битом переполнения. Я...
Я посмотрел в Интернете, и обсуждения / примеры, кажется, для традиционной разработки программного...
Я реализую весь набор инструкций 8051 в VHDL с нуля. Большинство вещей прошло хорошо, но наткнулся...
Я работаю с множителем 4 x 4 бита и получаю это сообщение об ошибке "Ошибка (10500): синтаксическая...
Я пытаюсь протестировать компонент VHDL , но, похоже, я не могу получить этот один входной порт,...
Мне нужен триггер, который реагирует на края двух разных сигналов. Примерно так:...
Что здесь происходит? Почему я получаю «несоответствие типа аргумента оператора» и что я могу...
Я относительно новичок в VHDL. Я пытаюсь написать код для умножения без знака, используя комбинацию...
У меня есть следующий код для подсчета до 59. Он начинается отлично, но после 31 начинает...
Как я могу имитировать поведение стека или очереди в VHDL? Есть указатели? Я думал об использовании...
У меня есть некоторый код VHDL, который я пишу для класса. Однако инструмент синтеза идентифицирует...
Я отправил ответ на другой вопрос о стеке , который требует, чтобы некоторая цифровая логика была...
Рассмотрим следующую проблему. У вас есть битовая строка, которая представляет текущего...
В c (встроенный) плагин может быть реализован путем определения таблицы указателей функций и...
В течение многих лет я работал над рядом проектов на основе микроконтроллеров; в основном с...
Я использую Altera Quartus 2 для создания собственного 8-битного процессора, и его сборка на моем...
Для среднесрочного университетского проекта мне нужно спроектировать конфигурируемый процессор,...
Как вы объединяете биты в VHDL? Я пытаюсь использовать следующий код: Случай b0 & b1 & b2 & b3 ......
Я разработчик-самоучка. Я в основном использую AVR, запрограммированные на C и ASM, но я баловался...