Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
1 ответ

Некоторый базовый фон: у меня есть драйвер дисплея, и мне нужно обработать некоторые входные данные...

vositis / 19 сентября 2019
0 голосов
0 ответов

У меня есть пользовательская инструкция NIOS2, написанная на VHDL, для связи с моими самодельными...

Jan Mart / 11 июля 2019
0 голосов
0 ответов

Я синтезировал дизайн и, видимо, он слишком большой для компиляции или чего-то еще.Он отлично...

Noura AIT MANSSOUR / 11 июля 2019
0 голосов
0 ответов

Как AFDX общается с внешним миром. Т.е. шина AFDX и оконечная система. Как это можно реализовать в...

srihari / 11 июля 2019
0 голосов
0 ответов

Мне нужно расставить приоритеты пакетов, поступающих с nf2 с mac-адресом: 00: 4e: 46: 31: 30: 02....

María / 09 июля 2019
0 голосов
0 ответов

Tensorflow в настоящее время поддерживает устройства с процессором и графическим процессором,...

Scott Thomas / 08 июля 2019
0 голосов
1 ответ

Я работаю над драйвером Ethernet для платы Digilent Nexys4 (Artix7 FPGA).Я написал компонент VHDL...

P. Desombre / 08 июля 2019
1 голос
1 ответ

Когда контроллер NVME отправляет данные в FPGA.Иба не в порядке.у нас возникли проблемы с...

vocsiphuong / 08 июля 2019
0 голосов
1 ответ

Мне нужно реализовать 2 или более независимых устройства на ПЛИС (Altera Cyclone III).Например: два...

Pavlishin Nikita / 03 июля 2019
0 голосов
0 ответов

Если (асинхронный сброс и запись_ru) имеют значение true на тех же часах, а затем на следующих...

kevin / 02 июля 2019
0 голосов
0 ответов

Я ищу способ подключения FTDI FT601 или FT600 USB3.0 к FIFO конвертер к FPGA Intel (Altera).Цель...

Johnny / 30 июня 2019
0 голосов
0 ответов

Я разрабатываю FPGA для приложения беспроводной связи.Я бы хотел уменьшить энергопотребление и...

jrx07 / 29 июня 2019
0 голосов
0 ответов

У меня уже есть 4-битный стек, но я не знаю, как сделать его 8-битным. Это часть гораздо большего...

guib / 28 июня 2019
0 голосов
1 ответ

Я должен реализовать цикл, который обновляет переменную (или сигнал) в цикле по VHDL . Другими...

Noura AIT MANSSOUR / 27 июня 2019
0 голосов
0 ответов

Моя сущность pixel_controller во время симуляции работает (я думаю) правильно, но выводит...

Jhonathan Asimov / 25 июня 2019
0 голосов
1 ответ

Я пытаюсь сгенерировать 2 прямоугольных волны, вторая со смещением фазы на спартанце 6, используя...

MXG123 / 22 июня 2019
0 голосов
0 ответов

Я пытаюсь запустить пакетные запросы в веб-службе Azure, в которой есть модель обнаружения объектов

Attila Gróf / 21 июня 2019
1 голос
1 ответ

Мне нужно выделить в FPGA массив из 20 элементов, каждый размером 323 бита, но я не знаю, сможет ли...

Noura AIT MANSSOUR / 14 июня 2019
0 голосов
1 ответ

Я сделал передатчик I2S для генерации «звука» из моей FPGA. Следующий шаг, который я хотел бы...

Joost / 12 июня 2019
0 голосов
2 ответов

Допустим, я построил проект FPGA vivado Zynq, и я хочу написать и прочитать порт Zynq «M_AXI_GP0»...

pico / 11 июня 2019
0 голосов
0 ответов

При попытке скомпилировать пример добавления вектора Intel с использованием компилятора,...

Ana / 11 июня 2019
0 голосов
0 ответов

Привет! Я использую FPGA Developer AMI. Использование учебника из [https://github

Will Su / 10 июня 2019
0 голосов
2 ответов

Я бы поклялся, что в vivado есть ошибка, заключающаяся в том, что он никогда не обновляет...

pico / 07 июня 2019
0 голосов
1 ответ

В моем VHDL у меня есть интерфейс верхнего уровня подчиненного устройства APB и мастер-порт AXI,...

pico / 07 июня 2019
0 голосов
2 ответов

Я первый студент, который недавно начал vhdl.В настоящее время он работает на плате FPGA.Я бы хотел...

박준수 / 07 июня 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...