Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
0 ответов

Я новичок в PCI Express и работаю над проектом по тестированию интерфейсов несущей платы с...

med / 30 октября 2019
0 голосов
0 ответов

Я новичок в Verilog и только что получил TinyFGPA Bx. Я запустил некоторый пример кода и поэтому...

David Scullion / 30 октября 2019
1 голос
2 ответов

Я хотел бы запустить IP Altera Cyclone IV с OpenOCD. Независимо от того, какой файл мне нужен от...

Cyborg-X1 / 29 октября 2019
1 голос
1 ответ

Я пытаюсь создать конвертер RGB в YUV в VHDL. Y = 0.299 x R + 0.587 x G + 0.114 x B U = -0.147 x R...

Berk Varımlı / 27 октября 2019
0 голосов
0 ответов

Параллельное выполнение ядер для измерения времени выполнения Я новичок в OpenCL. Я хочу измерить...

KittoMi / 27 октября 2019
0 голосов
1 ответ

У меня только одно сомнение по поводу следующей программы: process(clk) variable cuenta : integer...

Soichiru / 24 октября 2019
1 голос
1 ответ

Я создал каждый модуль и тестовый стенд. каждый делает именно то, что должен в симуляторе. но когда...

James Wilson / 23 октября 2019
0 голосов
1 ответ

Я хочу сделать свертку в моей FPGA. У меня есть массив, в котором хранится изображение, которое...

Skusku / 23 октября 2019
0 голосов
1 ответ

Я новичок в ПЛИС, и недавно я попробовал очень простую программу с плавным светом, которая хорошо...

Hao Chen / 23 октября 2019
3 голосов
1 ответ

У меня есть описание VHDL для моста, и двунаправленному сигналу "mem_data_port0" не присваивается...

BArgenziano / 20 октября 2019
0 голосов
0 ответов

Я попытался найти нечеткое выравнивание гистограммы. В следующей программе у меня проблема с тем,...

Duaa / 20 октября 2019
0 голосов
0 ответов

Насколько я понимаю, квантовый эмулятор и квантовый отжиг используются для моделирования квантовых...

Akshay Veera Raghavan / 19 октября 2019
2 голосов
1 ответ

У меня есть много проектов FPGA и некоторые общие компоненты, которыми они поделились. Я ищу способ...

sebi707 / 18 октября 2019
0 голосов
0 ответов

мы проектируем систему обработки видео на основе FPGA на Zynq ultrascale +. вся обработка видео...

M12421K / 13 октября 2019
0 голосов
0 ответов

Я пытаюсь создать FSM в VHDL. Однако инструмент синтеза, который я использую (Vivado), не будет...

Mario R. / 08 октября 2019
0 голосов
1 ответ

Я написал простой процесс VHDL с синхронизацией по времени, содержащий две переменные: целое число,...

SoLegendary / 02 октября 2019
0 голосов
1 ответ

Я пытаюсь определить, имеет ли двоичное число степень двойки (другими словами, является ли оно...

kevin / 30 сентября 2019
0 голосов
1 ответ

У меня есть вопрос о планировании рабочей группы на нескольких CU в Intel FPGA. Как рабочие группы...

HastatusXXI / 29 сентября 2019
0 голосов
0 ответов

Я использую arty-7 (Digilent) FPGA, я просто хочу знать, что я делаю 5-битный счетчик, но я хочу,...

Zeeshan Rafique / 28 сентября 2019
1 голос
1 ответ

Я пытаюсь записать некоторые данные в двухпортовый BRAM и прочитать их из PL.Я создал настроенную...

delviewtravian / 27 сентября 2019
0 голосов
0 ответов

Я пытаюсь, чтобы один из выводов на моем JB-заголовке Pmod был входом, а другой - выходом.Однако я...

Skusku / 25 сентября 2019
1 голос
2 ответов

Я пытаюсь записать целое число (1114129) из моего HPS на Cyclone V Altera FPGA из окна PUTTY в...

Jacko123 / 25 сентября 2019
0 голосов
0 ответов

Все говорят, что вы не должны смешивать блокирующие и неблокирующие назначения в Verilog, но что...

vositis / 25 сентября 2019
0 голосов
0 ответов

Я пытаюсь сделать 2: 1 (8-битную ширину) мультиплексора в VHDL.Вот что у меня есть: library IEEE;...

John / 24 сентября 2019
0 голосов
2 ответов

Я пытаюсь подключить выходной целочисленный порт модуля VHDL к сигналу.И этот сигнал подключит...

psfpga / 23 сентября 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...