Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
1 ответ

Я сейчас изучаю язык VHDL, и у меня есть некоторые проблемы с пониманием части кода в моем курсе.Я...

Pavol Kostolanský / 07 мая 2019
0 голосов
0 ответов

У меня есть одна программа verilog, которую я не могу изменить, и ей нужно 16 входов и 1 выход. Мне...

A.J.X / 07 мая 2019
0 голосов
1 ответ

для проекта колледжа нам нужно сделать SPACE INVADERS похожим на игру в verilog с использованием...

Matan Kleiner / 03 мая 2019
0 голосов
1 ответ

Как влияет настройка режима вытягивания на вывод, обозначенный как выход в синтезе? Действует ли...

CJC / 03 мая 2019
1 голос
1 ответ

Внешний сигнал запуска отправляется на ПЛИС. Сигнал запуска должен приниматься только в том случае,...

Adam / 01 мая 2019
0 голосов
0 ответов

У меня уже был pip install myhdl в приглашении anaconda, и это успешно. Но когда я импортирую myhdl...

Rhapsody / 30 апреля 2019
2 голосов
1 ответ

Я всегда строю свой дизайн с заданиями по умолчанию, потому что это делает мой код меньше строк, и...

Sukru / 26 апреля 2019
0 голосов
1 ответ

Я пытаюсь сделать новый дизайн.Я проектирую это с двумя процессами, которые являются синхронными и...

Sukru / 26 апреля 2019
0 голосов
1 ответ

Вот мой модуль, о котором идет речь , и когда я устанавливаю точку останова на первую строку в...

MBJ / 22 апреля 2019
0 голосов
1 ответ

Я пишу код для (128 бит) степени (4 бита) и делителя, чтобы найти остаток (128 бит) мощности (4...

soul z / 21 апреля 2019
0 голосов
0 ответов

Я новичок в веб-сервисе amazon, и я сделал, как указано в этой ссылке ниже https://github

logger / 20 апреля 2019
0 голосов
0 ответов

Я пытался синтезировать код VHDL, который идеально имитирует в Active HDL, но я получаю следующую...

Y. Gopee / 16 апреля 2019
0 голосов
0 ответов

Здесь столбцы - это reg, объявленный следующим образом: reg [1022: 0] columns [0: 1022];...

Sushrut Kaul / 16 апреля 2019
0 голосов
2 ответов

Существует ли общедоступная модель ПЛИС? Модель производительности или функциональная модель или...

Aman Arora / 15 апреля 2019
0 голосов
0 ответов

Вместо использования $ readmemh для чтения различных больших файлов / помещения данных в 16-битные...

Adrian3 / 14 апреля 2019
1 голос
1 ответ

Я пытаюсь найти среднюю точку между синхроимпульсами в видеопотоке. Между синхроимпульсами много...

Arash Fotouhi / 14 апреля 2019
0 голосов
1 ответ

У меня есть следующий код: `timescale 1ns / 1ps...

Sushrut Kaul / 14 апреля 2019
0 голосов
1 ответ

Я хочу прочитать 6-значное число от пользователя через клавиатуру, которая подключена к выводам...

D.k / 14 апреля 2019
0 голосов
1 ответ

Я пишу код для простого арифметического уравнения d = 1 + (k * o).В моем коде три процесса. Третий...

soul z / 12 апреля 2019
0 голосов
1 ответ

Это мой код VHDL: entity Operation is port ( clk16: in std_logic; // 16 MHz input clock start_cmd:...

Martin Dusek / 11 апреля 2019
0 голосов
1 ответ

У меня есть этот входной вектор, который я должен вычесть из моей внутренней переменной entity f11...

Balsa Popovic / 11 апреля 2019
0 голосов
2 ответов

Есть ли в vhdl библиотека, которая определяет операторы * и +?Мне нужно умножить и добавить более...

soul z / 11 апреля 2019
1 голос
0 ответов

У меня есть кое-что работающее, которое модифицировано из примера распространителя DPDK....

da_steve101 / 10 апреля 2019
0 голосов
1 ответ

Я пытаюсь запустить поведенческое моделирование на своем коде Verilog в Vivado, однако после...

clbx / 10 апреля 2019
0 голосов
1 ответ

Прежде всего: я инженер-электронщик, поэтому я не очень хорошо разбираюсь в высокоуровневом...

DIMscene / 09 апреля 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...