Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
0 ответов
0 голосов
1 ответ

Я хочу генерировать случайные векторы длиной 1024 в verilog.Я посмотрел на некоторые реализации,...

Sushrut Kaul / 20 января 2019
0 голосов
0 ответов

Я пытаюсь следовать примеру из моей книги по VHDL. Его имя - прототипирование ПЛИС по примерам VHDL...

Çağlayan DÖKME / 18 января 2019
0 голосов
1 ответ

У меня проблемы с пониманием следующего кода (bimpy.v), который выполняет операцию 2-разрядного...

kevin998x / 18 января 2019
0 голосов
1 ответ

Я прохожу код, который использует пользовательскую библиотеку. Но я не могу увидеть содержимое...

rubana / 16 января 2019
0 голосов
1 ответ

Я хочу реализовать свой проект risc-v с одним циклом на fpga. после моделирования моего кода все...

Erfan Pourmahdi Ebrahimi / 11 января 2019
0 голосов
0 ответов

Я хотел бы построить собственную сеть на основе BNN-PYNQ. Однако я не знаю значения жесткого...

harmegiddo / 11 января 2019
0 голосов
1 ответ

Я ищу USB Host Control для подключения USB-клавиатуры и игрового контроллера PS для управления...

Segev Livneh / 11 января 2019
0 голосов
1 ответ

- Процесс 1 - зарегистрировать однобитовый регистр, поступающий асинхронно process (clk,rst_n)...

user1561926 / 10 января 2019
0 голосов
0 ответов

Я создал функцию «my_func» в пакете, которая при вводе с x производит матрицу целых чисел формы...

dyslexicgruffalo / 10 января 2019
0 голосов
0 ответов

Я пытаюсь прочитать значение из BROM, которое я сгенерировал из Block Memory Generator в Vivado...

Thịnh Nguyễn / 09 января 2019
0 голосов
0 ответов

Я пытаюсь реализовать декодер на FPGA. Декодер имеет несколько модулей, один из которых показан...

Sushrut Kaul / 09 января 2019
0 голосов
2 ответов

У нас есть сложная встроенная система с процессором zynqmp под управлением Linux Xilinx и...

Kumar / 05 января 2019
0 голосов
0 ответов

Я пытался создать периферийное устройство ПЛИС с почти 128 различными байтами для своего...

WindowsDOS / 04 января 2019
0 голосов
0 ответов

Я пытаюсь прочитать значение из BROM, которое генерируется с использованием IP-адреса генератора...

Thịnh Nguyễn / 04 января 2019
0 голосов
0 ответов

Я новичок в FPGA, и мне нужна помощь, если это возможно. Я хотел бы реализовать схему...

Ioan Kats / 04 января 2019
0 голосов
0 ответов

Редактировать ..... Если я создаю тип A, где ... type A is array (0 to arr_d1_len - 1) of...

dyslexicgruffalo / 04 января 2019
0 голосов
1 ответ

Я хочу получить значения изображения, а затем обработать это изображение с помощью моей платы fpga

user71 / 04 января 2019
0 голосов
1 ответ

Я немного новичок в verilog, и у меня есть вопрос, который сбивает меня с толку.У меня есть ряд...

Sushrut Kaul / 03 января 2019
0 голосов
1 ответ

Мне нужно реализовать сторожевой таймер на моей плате Cyclone II FPGA.Я спроектировал систему с...

wi95 / 29 декабря 2018
0 голосов
1 ответ

Я пытаюсь написать и протестировать простой 16-разрядный чип RAM8 в Verilog с использованием Icarus...

Isaiah Becker-Mayer / 29 декабря 2018
0 голосов
0 ответов

Я учусь использовать opencl на FPGA и написал программу для реализации алгоритма Смита-Ватермана ....

Bruce Yuan / 27 декабря 2018
0 голосов
2 ответов

Я работаю над (на первый взгляд) простым проектом в качестве учебного упражнения: подключение...

Viking / 24 декабря 2018
0 голосов
2 ответов

Мое намерение состоит в том, чтобы узнать вес ядра, который использовался во время свертки, а затем...

illusioncognitive / 19 декабря 2018
0 голосов
1 ответ

Я пытаюсь запустить FSM и Adder в VHDL, чтобы он действовал как торговый автомат, но я получаю...

Hysteria103 / 19 декабря 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...