Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
2 ответов

У меня есть это определение: subtype sample_t is signed(SAMPLE_WIDTH-1 downto 0); Теперь в коде я...

dwjbosman / 08 сентября 2018
0 голосов
1 ответ

Я разработал Кольцевой генератор для реализации на ПЛИС, используя Alter Quartus 2. Я хочу сказать...

Sagar V / 04 сентября 2018
0 голосов
1 ответ

У меня есть процессорный модуль NVIDIA Tegra TK1 на плате носителя с подключенным к нему слотом...

sktpin / 04 сентября 2018
0 голосов
2 ответов

У меня есть fpga, которая принимает последовательные данные со скоростью, скажем, 4,8 кбит / с....

user10312904 / 04 сентября 2018
0 голосов
0 ответов

Я изо всех сил пытаюсь понять, как протокол FIX может быть записан и выполнен из FPGA, который...

p.luck / 04 сентября 2018
0 голосов
1 ответ

Интересно, кто поддерживает бэкэнд FPGA HDL для OpenCL. Я думал, что altera / intel и xilinx...

sungjun cho / 03 сентября 2018
0 голосов
0 ответов

В настоящее время я работаю над проектом с использованием маломощной FPGA Ice40 от Lattice...

Chris PWKoe / 30 августа 2018
0 голосов
2 ответов

Я понимаю работу FIFO, но мне кажется, что я что-то упускаю из-за его полезности. При реализации...

jakedaly / 29 августа 2018
0 голосов
1 ответ

Я использую DCM на FPGA Spartan-3, которая имеет выходной сигнал LOCKED. Мне нужно распределить мои...

Gustavo / 29 августа 2018
0 голосов
2 ответов

7.1 - Рассмотрим арифметическую схему, которая может выполнять четыре операции: a + b, ab, a + 1 и...

Nominal / 29 августа 2018
0 голосов
0 ответов

Я попытался использовать Генератор трафика Xilinx IP AXI (3.0) в режиме данных с одним проверенным...

user3094049 / 02 июля 2018
0 голосов
1 ответ

Я знаю, что это просто, но мне трудно заставить это работать. Я «читаю» с выводов ввода-вывода и...

user169808 / 30 июня 2018
0 голосов
1 ответ

Я изучаю дизайн VHDL, загруженный из Интернета. Некоторые порты ввода / вывода объявлены как...

Alek / 29 июня 2018
0 голосов
1 ответ

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std

ali web / 29 июня 2018
0 голосов
0 ответов

Я бы хотел иметь возможность отравить сигнал в VHDL, чтобы все последующие потребители получали...

Mike Vine / 27 июня 2018
0 голосов
1 ответ

Я новичок в VHDL, поэтому я работаю с существующим кодом.В коде верхнего уровня порты назначаются...

Doug / 13 июня 2018
0 голосов
0 ответов

Я использую инструмент HLS в качестве катапульты для генерации кода HDL, позже я применю свой...

Md Tariq-ul-Islam chowdhury / 12 июня 2018
0 голосов
2 ответов

Я читаю статью ( прикрепленный файл ) и выполняю схему VCO (Заряженный баланс) для модели на Matlab...

Thanh niên Yên Bái / 11 июня 2018
0 голосов
0 ответов

У меня есть эта схема Я должен написать для нее структурный VHDL-проект. Итак, это мои...

Genie Rand / 10 июня 2018
0 голосов
1 ответ

Теперь я пишу VHDL, чтобы сделать модуль таймера с одним выстрелом.Но я не знаю, какой код...

Thanh niên Yên Bái / 10 июня 2018
0 голосов
1 ответ

У меня есть Xilinx Spartan6 и следующий код VHDL: library ieee; use ieee.std_logic_1164.all; use...

Dcbnvn / 09 июня 2018
0 голосов
1 ответ

Я новичок в GNURadio, и для проекта мне нужно использовать GNURadio с платформой FPGA, отличной от...

JesusOmar / 08 июня 2018
0 голосов
3 ответов

Я хочу создать счетчик, который может начать отсчет с заданного сигнала.И как только он подсчитает...

马邦德 / 08 июня 2018
0 голосов
2 ответов

У меня проблемы с использованием внутреннего генератора для решетки ICE5LP4K.Согласно Приложению к...

Rob / 07 июня 2018
0 голосов
0 ответов

Я разработал программу Xilinx Zynq SoC c для передачи настроенных необработанных пакетов Ethernet...

Kashyap Gada / 05 июня 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...