Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
1 ответ

Я получаю предупреждение о том, что [Synth 8-3332] Последовательный элемент (\ i_data_1_vect_1_reg...

kuladeepsai reddy / 04 июня 2018
0 голосов
0 ответов

Я пытаюсь использовать проект LCD в своем собственном проекте, но я не совсем понимаю этот проект,...

Henric Henricsson / 03 июня 2018
0 голосов
0 ответов

Наша команда в настоящее время работает над нестандартным устройством. Существует плата Cyclone V с...

yurimz / 29 мая 2018
0 голосов
1 ответ

Я использую AXI IIC BUS IP Core на vivado. Даже если я записываю соответствующие данные в регистр,...

墨疏雨 / 29 мая 2018
0 голосов
1 ответ

Я написал коды для модуля генератора шаблонов и модуля проверки ошибок в Verilog.Модуль генератора...

Akhil Singh / 28 мая 2018
0 голосов
1 ответ

У меня плата de2-115 "на базе FPGA " и аналоговая камера "Стандарт NTSC" , подключенная к ней. В...

bashar hieb / 26 мая 2018
0 голосов
1 ответ

В моем приложении мне нужно получить доступ к регистру из двух всегда блоков.первый блок будет...

Rahul Tungar / 26 мая 2018
0 голосов
1 ответ

Я хочу узнать, как использовать LogiCORE DSP48 Macro.Я читаю документацию по Xilinx, но не могу...

Condor / 21 мая 2018
0 голосов
1 ответ

Мой вопрос касается первого состояния, используемого в синтезированном автомате. Я работаю с ПЛИС...

Ron / 21 мая 2018
0 голосов
1 ответ

В пятне потока AXIS ACLK определяется как: Глобальный тактовый сигнал.Все сигналы дискретизируются...

haykp / 20 мая 2018
0 голосов
1 ответ

Теперь я делаю схему для измерения температуры и влажности, затем отображаю на ЖК-дисплее.Это мой...

Thanh niên Yên Bái / 19 мая 2018
0 голосов
1 ответ

Я пытаюсь настроить внутренний генератор решетки MachX03.Я прочитал Руководство по проектированию и...

user169808 / 19 мая 2018
0 голосов
0 ответов

У меня есть два модуля, mod1 и mod2.Я хочу подключить mod1 к mod2, чтобы входы x1 и y1 были такими...

Just Half / 19 мая 2018
0 голосов
0 ответов

у нас есть модуль bluetooth, который при изменении данных приемника посылает сигнал прерывания на...

Murat Tekin / 18 мая 2018
0 голосов
2 ответов

Я новичок с VHDL.Я создал автомат распознавания (Мур) последовательности 000010 для доступа к лифту

Micheal / 18 мая 2018
0 голосов
1 ответ

каковы ваши предложения по оптимизации преобразования от миллисекунд msecsOut до псевдонима из 9...

qrtLs / 17 мая 2018
0 голосов
0 ответов

Я пишу VHDL для fifo, но когда я имитирую, нет выходных данных? Я не могу просмотреть выходные...

elmakhloufi assaad / 16 мая 2018
0 голосов
1 ответ

Моя плата ( apf27 ) имеет процессор (i.MX27) и FPGA (Spartan3A), которые обмениваются данными через...

FabienM / 15 мая 2018
0 голосов
1 ответ
0 голосов
1 ответ

Я пытаюсь измерить энергопотребление моей платы (Altera DE10-Nano) после программирования ее...

Majd Addin / 14 мая 2018
0 голосов
1 ответ

Работает ли, например, спартанец-6 полностью (или, по крайней мере, в рабочем состоянии) независимо...

PLDfan / 14 мая 2018
0 голосов
1 ответ

Я новичок в мире FPGA.Я пытался скомпилировать некоторые программы OpenCl, но заметил, что...

Tanash / 14 мая 2018
0 голосов
0 ответов

Мне нужно сделать проект контроллера клавиатуры PS2 в университете, и я столкнулся с несколькими...

Andrei Tomoiaga / 13 мая 2018
0 голосов
1 ответ

У меня проблема с использованием выходных данных из субмодуля (encrypt_system), чтобы стать входом...

Muhammad Afnan / 11 мая 2018
0 голосов
1 ответ

Я пытаюсь использовать встроенные дифференциальные часы для моего кода verilog. Ниже приведены...

Saloni Raina / 09 мая 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...