Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
0 ответов

Я не очень хорошо разбираюсь в VHDL. Я пытаюсь сделать UART с 9600 BAUD 8-n-1 в VHDL.В настоящее...

bryant / 23 ноября 2018
0 голосов
0 ответов

Я пытаюсь реализовать счетчик, который выдает в качестве выходных значений от одного до шести,...

Jerzy Wenta / 22 ноября 2018
0 голосов
0 ответов

Я получил код, в котором кодер как-то написал: a <= a + 1 Где a равно std_logic_vector (15...

rubana / 20 ноября 2018
0 голосов
1 ответ

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity struture_test is Port (...

John / 20 ноября 2018
0 голосов
0 ответов

Я создал битовый поток для четырехбитного полного сумматора, используя параллельные операторы....

Sam / 18 ноября 2018
0 голосов
2 ответов

Мне нужно сгенерировать случайное целое число от 0 до 1023 в VHDL, однако я не смог найти хороший...

Bachmanity / 17 ноября 2018
0 голосов
1 ответ

Я купил дешевую доску с Altera Cyclone II у ebay и хочу начать экспериментировать. Я создал простую...

mravenca / 16 ноября 2018
0 голосов
1 ответ

Я немного новичок в VHDL и стараюсь учиться на примерах.Короче говоря, я начал с нескольких...

Ioan Kats / 16 ноября 2018
0 голосов
1 ответ

Я хочу, чтобы все запросы на чтение и запись к устройству PCIe кэшировались кэшами ЦП.Однако это не...

Taekyung Heo / 15 ноября 2018
0 голосов
0 ответов

Я работаю на плате de2-115i. Я хочу перенести данные (изображение) из комплекта на компьютер. Как...

hiếu nguyễn / 13 ноября 2018
0 голосов
1 ответ

В моем проекте данные записываются в BRAM (сгенерированный с помощью IP-генератора Block Ram) с...

Ironil / 12 ноября 2018
0 голосов
2 ответов

В чем преимущество использования testbench вместо файла ".do" в ModelSim? Файл .do позволяет мне...

Tal J / 12 ноября 2018
0 голосов
1 ответ

Можно ли сгенерировать тактовую частоту 1000 МГц из 100 МГц в VHDL? Я хочу создать счетчик 1 нс, и...

Reza Mohammadi / 12 ноября 2018
0 голосов
2 ответов

Я заинтересован в использовании J1 CPU в проекте FPGA. Я понимаю, что для использования самого...

Rocketmagnet / 10 ноября 2018
0 голосов
1 ответ

Есть похожий вопрос здесь , на который был дан ответ Да, rising_edge()/falling_edge() следует...

Andy Ef / 09 ноября 2018
0 голосов
1 ответ

Я получаю свой выходной сигнал умножения матриц в шестнадцатеричном виде, но не в виде матрицы, как...

Kevin Harsha / 08 ноября 2018
0 голосов
0 ответов

Я пытаюсь реализовать множитель с фиксированной запятой для ПЛИС Xilinx. Входы a и b представляют...

frisco_1989 / 07 ноября 2018
0 голосов
1 ответ

Я пытаюсь использовать буфер, чтобы сделать анимацию более плавной в моем текущем проекте. Я смог...

funnypig run / 07 ноября 2018
0 голосов
0 ответов

надеюсь, что у вас все хорошо, и надеюсь, что вы можете помочь мне с этим Я отправляю некоторые...

Alexis Hernandez / 06 ноября 2018
0 голосов
1 ответ

Я проектирую периферийное устройство последовательного порта в ПЛИС, в котором регистры отображения...

NXT / 01 ноября 2018
0 голосов
2 ответов

Я пытаюсь сделать вывод VGA, используя verilog, но я не могу понять, почему r_hcount остается X....

funnypig run / 31 октября 2018
0 голосов
1 ответ

Это вопрос, на который я пытаюсь ответить. Разработайте и смоделируйте 2-битный счетчик, который...

EnKayJay / 28 октября 2018
0 голосов
1 ответ

Я работаю с дизайном Xilinx Ethernetlite (LWIP).Я могу передавать данные с платы KC на ПК...

Abi / 26 октября 2018
0 голосов
0 ответов

У меня есть симуляция, в которой используется проверочный IP-адрес Xilinx AXI.Тест компилируется и...

Rich Maes / 26 октября 2018
0 голосов
0 ответов

Этим летом я купил DE1 SoC и запрограммировал небольшой процессор в часть FPGA.В то время у меня...

Guacho Perez / 23 октября 2018
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...