Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
1 ответ

Ниже приведен мой простой код: void MyFunc(float input[10], float output[10]) { #pragma HLS...

Yunlong Wang / 19 февраля 2019
0 голосов
1 ответ

Ошибка (13356) цикл должен завершиться в течение 5000 итераций Quartus II

Ramin Rasoulinezhad / 19 февраля 2019
0 голосов
0 ответов

Недавно я работал над DLA с открытым исходным кодом с именем dnnweaver .Но я получил некоторые...

lijun / 18 февраля 2019
0 голосов
0 ответов

У меня есть блочный дизайн с Zynq и Microblaze на плате Xilinx Zed. Я хочу, чтобы microblaze имел...

dwjbosman / 16 февраля 2019
0 голосов
1 ответ

У меня есть блочный дизайн с Zynq и Microblaze на плате Xilinx Zed. Я хочу, чтобы микроблэйз мог...

dwjbosman / 16 февраля 2019
0 голосов
0 ответов

Я пытаюсь настроить дизайн на плате Zed с Zynq PS (arm0 / arm1, Linux) и Microblaze в PL (голый...

dwjbosman / 16 февраля 2019
0 голосов
0 ответов

Я пытаюсь подключить выход моей FPGA к ЦАП.Я использую ЦАП PmodDA2.Проблема, с которой я...

Paul James / 14 февраля 2019
0 голосов
0 ответов

У меня проблема с FPGA RAM.Я хочу сделать Z-буферизацию в моем проекте 3D-рендеринга.Это включает...

Krzysztof Papciak / 13 февраля 2019
0 голосов
2 ответов

Если у меня есть массив, как определено ниже ... type A_type is array (0 to 9) of integer; signal...

dyslexicgruffalo / 12 февраля 2019
0 голосов
1 ответ

Я работаю над модулем декодера на основе кодов BCH.Проект должен быть реализован на ПЛИС Virtex-7.У...

Sushrut Kaul / 11 февраля 2019
0 голосов
0 ответов

Как посмотреть содержимое памяти DDR3 из Xilinx SDK?Я знаю, что есть просмотрщик памяти, но я не...

Sushrut Kaul / 07 февраля 2019
0 голосов
1 ответ

Я программирую плату Xilinx Basys 3 в поведенческом VHDL.Я освещаю отдельные сегменты 4х...

Drew P / 07 февраля 2019
0 голосов
1 ответ

Я работаю над своим диссертационным проектом, пытаясь выяснить, может ли и когда использование...

Giacomo Benso / 04 февраля 2019
0 голосов
2 ответов

Я пытаюсь сделать отображение кода Морзе с помощью светодиода.Мне нужен полсекундный импульс света...

user3308807 / 02 февраля 2019
0 голосов
1 ответ

Я строю код Verilog с множителем со знаком на основе Архитектура сумматора строк (двоичное дерево)...

kevin998x / 01 февраля 2019
0 голосов
0 ответов

Я использую Spartan 6 Posedge One Board с базовой тактовой частотой: 24 МГц для отображения...

Faramarz / 01 февраля 2019
0 голосов
0 ответов

Мне нравится, когда файл проекта остается без изменений, если я не приму активное решение добавить...

Bimme / 31 января 2019
0 голосов
0 ответов

Я пытаюсь построить модуль для передачи данных.Во-первых, у меня есть BROM, сгенерированный из...

Thịnh Nguyễn / 30 января 2019
0 голосов
0 ответов

Я пытаюсь уменьшить счетчик для моей системы. Для этого я создал комбинационную логику для счетчика...

Hyemi Min / 29 января 2019
0 голосов
0 ответов

Кто-нибудь использовал этот 2M метод умножения ? При торговле небольшим коэффициентом logN в...

kevin998x / 29 января 2019
0 голосов
2 ответов

В Xilinx ISE (используя язык VHDL) я определил эти сигналы: signal counter : integer range 0 to...

Reflection / 28 января 2019
0 голосов
1 ответ

Может ли кто-нибудь сказать разницу в методе или механизме сокращения частичных продуктов между...

kevin998x / 26 января 2019
0 голосов
0 ответов

Я нахожусь в процессе написания драйвера устройства Linux для некоторого пользовательского...

RichC / 25 января 2019
0 голосов
1 ответ

Я пытаюсь создать тактовый сигнал 1 Гц на Lattice ICE40 FPGA.Я пишу свой код в Verilog и использую...

PetSven / 22 января 2019
0 голосов
1 ответ

Почему " Последовательность бит добавляет, что исключает необходимость в цепочке переноса "? Я не...

kevin998x / 22 января 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...