Вопросы с тегом fpga - PullRequest

Вопросы с тегом fpga

0 голосов
0 ответов

Я пытаюсь отобразить текст в пикселях для экрана VGA. Мой дизайн включает в себя много текста, и...

Y. Gopee / 08 апреля 2019
0 голосов
1 ответ

У меня есть код, и я не знаю, где его скомпилировать.Я получаю сообщения Нет такого файла или...

Nancy / 08 апреля 2019
0 голосов
0 ответов

Я подключил следующим образом GUI (компьютер) -FPGA-PLC.Здесь FPGA работают как сервер для GUI и...

jezrael / 08 апреля 2019
0 голосов
2 ответов

Я пишу код для алгоритма RSA.Мне нужно использовать цикл для его работы.Но цикл не имеет...

soul z / 08 апреля 2019
0 голосов
0 ответов

Я программирую на VHDL 1993 под QUARTUS II, и я только что создал два компонента, чтобы попытаться...

Vitoco.lr / 08 апреля 2019
0 голосов
1 ответ

Я работаю над реализацией канального декодера на ПЛИС.По сути, проблема сводится к следующему: 1) У...

Sushrut Kaul / 03 апреля 2019
0 голосов
0 ответов

Я использую программный процессор microblaze на плате разработчика Basys3.Я могу записать текстовый...

NeedlessBird / 02 апреля 2019
1 голос
0 ответов

Так как у меня нет возможности проверить это, я хотел бы спросить, компилируется ли этот фрагмент...

GameDevMike / 31 марта 2019
0 голосов
1 ответ

Возможно ли частичное присвоение типу записи при инициализации? Что-то вроде: type t_foo is record...

damage / 30 марта 2019
2 голосов
1 ответ

Можно ли определить значение по умолчанию для типа записи или вообще для любого определенного...

damage / 30 марта 2019
0 голосов
0 ответов

Я установил адрес ведомого на 0x1c.Позже я передал данные в Arduino по протоколу I2c в ПЛИС...

LEE / 30 марта 2019
0 голосов
0 ответов

Я пытаюсь прочитать ввод с клавиатуры и хочу, чтобы введенный пользователем номер сохранялся на...

D.k / 29 марта 2019
0 голосов
0 ответов

У меня есть проект fpga cyclone3 с nios ii и некоторым программным обеспечением, которое работает,...

RKishmar / 28 марта 2019
0 голосов
0 ответов

У меня есть ПЛИС, которую я кодирую с помощью VHDL. Я хочу, чтобы система запускалась в известном...

Tyler314 / 27 марта 2019
0 голосов
1 ответ

Когда я пытаюсь смоделировать модуль с помощью редактора сигналов моделирования Quartus prime,...

alexanderd5398 / 25 марта 2019
0 голосов
1 ответ

Здравствуйте, я получаю странное сообщение, когда пытаюсь запустить MAP, я правильно установил ОЗУ,...

Avishai Weizman / 24 марта 2019
0 голосов
0 ответов

У меня есть массив 3x3 WS2811, который я пытаюсь запрограммировать для активации при нажатии кнопки

hippoman / 24 марта 2019
0 голосов
0 ответов

В модуле я хотел бы создать много регистров различной длины и посылать информацию между этими...

Miles Johnson / 22 марта 2019
0 голосов
0 ответов

Попытка начать работу с программированием на ПЛИС и взглянуть на крошечный ПКП BX.Похоже, что...

Rod Naugler / 22 марта 2019
2 голосов
1 ответ

Я работаю с 1023 * 1023 MATRIX в Verilog. Я пытаюсь сделать следующее: 1) Выберите каждую строку по...

Sushrut Kaul / 21 марта 2019
0 голосов
0 ответов

Я хочу проверить различные аппаратные целочисленные множители.Я видел, что одним из вариантов...

Andreas / 20 марта 2019
0 голосов
1 ответ

Я ищу совет по поводу не идеальной ситуации. Я унаследовал проект, в котором возникла проблема с...

jamesmc86 / 19 марта 2019
0 голосов
1 ответ

Я немного знаю VHDL. Моя цель - получить 4-битный векторный вход и отправить выход на 7-сегментный...

U.Deniz A. / 19 марта 2019
0 голосов
1 ответ

Я пишу код Verilog, который перебирает состояния FSM, чтобы выполнять вычисления поэтапно....

Magnus Øverbø / 19 марта 2019
0 голосов
0 ответов

Я хочу добавить выходной файл .hex простого проекта NIOS II в процесс загрузки потока битов в...

Florian Muttenthaler / 18 марта 2019
Добро пожаловать на сайт PullRequest, где вы можете задавать вопросы и получать ответы от других членов сообщества.
...